انٹیل لوگوeCPRI Intel® FPGA IP ڈیزائن
Exampلی یوزر گائیڈ
Intel® کے لیے اپ ڈیٹ کیا گیا۔
Quartus®
پرائم ڈیزائن سویٹ: 23.1
IP ورژن: 2.0.3

کوئیک اسٹارٹ گائیڈ

بہتر کامن پبلک ریڈیو انٹرفیس (eCPRI) Intel® FPGA IP کور eCPRI تفصیلات ورژن 2.0 کو نافذ کرتا ہے۔ ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی ایک سمولیشن ٹیسٹ بینچ اور ایک ہارڈ ویئر ڈیزائن فراہم کرتا ہے۔ample جو تالیف اور ہارڈویئر ٹیسٹنگ کی حمایت کرتا ہے۔ جب آپ ڈیزائن سابقہ ​​تیار کرتے ہیں۔ample، پیرامیٹر ایڈیٹر خود بخود تخلیق کرتا ہے۔ fileڈیزائن کی تقلید، مرتب، اور جانچ کے لیے ضروری ہے۔ampہارڈ ویئر میں.
مرتب شدہ ہارڈویئر ڈیزائن سابقample چلتا ہے:

  • Intel Agilex™ 7 I-Series FPGA ڈویلپمنٹ کٹ
  • Intel Agilex 7 I-Series Transceiver-SoC ڈویلپمنٹ کٹ
  • Intel Agilex 7 F-Series Transceiver-SoC ڈویلپمنٹ کٹ
  • Intel Stratix® 10 GX Transceiver Signal Integrity Development Kit for H-Tile design ex.amples
  • انٹیل اسٹریٹکس 10 TX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ برائے ای ٹائل ڈیزائن سابقamples
  • Intel Arria® 10 GX Transceiver Signal Integrity Development Kit

انٹیل صرف ایک تالیف فراہم کرتا ہے۔ample پروجیکٹ جسے آپ IP کور ایریا اور ٹائمنگ کا تیزی سے اندازہ لگانے کے لیے استعمال کر سکتے ہیں۔
ٹیسٹ بینچ اور ڈیزائن سابقample Intel Stratix 25 H-tile یا E-tile اور Intel Agilex 10 E-tile یا F-Tile ڈیوائس کی eCPRI IP کی مختلف حالتوں کے لیے 10G اور 7G ڈیٹا ریٹ کی حمایت کرتا ہے۔

نوٹ: eCPRI IP ڈیزائن سابقample کے ساتھ انٹر ورکنگ فنکشن (IWF) موجودہ ریلیز میں صرف 9.8 Gbps CPRI لائن بٹ ریٹ کے لیے دستیاب ہے۔
نوٹ: eCPRI IP ڈیزائن سابقample Intel Arria 10 ڈیزائنز میں 10G ڈیٹا ریٹ کے لیے ڈائنامک ری کنفیگریشن کو سپورٹ نہیں کرتا ہے۔

eCPRI Intel FPGA IP کور ڈیزائن سابقample مندرجہ ذیل خصوصیات کی حمایت کرتا ہے:

  • اندرونی TX سے RX سیریل لوپ بیک موڈ
  • ٹریفک جنریٹر اور چیکر
  • بنیادی پیکٹ چیک کرنے کی صلاحیتیں۔
  • ڈیزائن کو چلانے کے لیے سسٹم کنسول استعمال کرنے اور دوبارہ جانچ کے مقصد کے لیے ڈیزائن کو دوبارہ ترتیب دینے کی اہلیت

انٹیل کارپوریشن۔ جملہ حقوق محفوظ ہیں. Intel، Intel لوگو، اور Intel کے دیگر نشانات Intel Corporation یا اس کے ذیلی اداروں کے ٹریڈ مارک ہیں۔ Intel اپنی FPGA اور سیمی کنڈکٹر مصنوعات کی کارکردگی کو Intel کی معیاری وارنٹی کے مطابق موجودہ تصریحات کی ضمانت دیتا ہے، لیکن بغیر اطلاع کے کسی بھی وقت کسی بھی مصنوعات اور خدمات میں تبدیلیاں کرنے کا حق محفوظ رکھتا ہے۔ Intel یہاں بیان کردہ کسی بھی معلومات، پروڈکٹ، یا سروس کے اطلاق یا استعمال سے پیدا ہونے والی کوئی ذمہ داری یا ذمہ داری قبول نہیں کرتا ہے سوائے اس کے کہ Intel کی طرف سے تحریری طور پر واضح طور پر اتفاق کیا گیا ہو۔ انٹیل کے صارفین کو مشورہ دیا جاتا ہے کہ وہ کسی بھی شائع شدہ معلومات پر بھروسہ کرنے سے پہلے اور مصنوعات یا خدمات کے آرڈر دینے سے پہلے ڈیوائس کی تفصیلات کا تازہ ترین ورژن حاصل کریں۔ *دیگر ناموں اور برانڈز پر دوسروں کی ملکیت کے طور پر دعویٰ کیا جا سکتا ہے۔

ISO 9001:2015 رجسٹرڈ

تصویر 1۔ ڈیزائن کے لیے ترقی کے مراحل ExampleeCPRI Intel FPGA IP ڈیزائن - شکل 1

متعلقہ معلومات

  • eCPRI Intel FPGA IP صارف گائیڈ
  • eCPRI Intel FPGA IP ریلیز نوٹس

1.1 ہارڈ ویئر اور سافٹ ویئر کی ضروریات
سابق کو جانچنے کے لیےampلی ڈیزائن، درج ذیل ہارڈ ویئر اور سافٹ ویئر استعمال کریں:

  • Intel Quartus® Prime Pro Edition سافٹ ویئر ورژن 23.1
  • سسٹم کنسول
  • تعاون یافتہ سمیلیٹر:
    - سیمنز* ای ڈی اے کوئسٹا سم*
    - Synopsys * VCS*
    - Synopsys VCS MX
    — Aldec* Riviera-PRO*
    - Cadence* Xcelium*
  • ڈویلپمنٹ کٹ:
    — Intel Agilex 7 I-Series FPGA ڈویلپمنٹ کٹ
    — Intel Agilex 7 I-Series Transceiver-SoC ڈویلپمنٹ کٹ
    — Intel Agilex 7 F-Series Transceiver-SoC ڈویلپمنٹ کٹ
    — انٹیل اسٹریٹکس 10 جی ایکس ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ برائے ایچ ٹائل ڈیوائس ویری ایشن ڈیزائن سابقample
    — Intel Stratix 10 TX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ برائے ای ٹائل ڈیوائس تغیر ڈیزائن سابقample
    — Intel Arria 10 GX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ

متعلقہ معلومات

  • Intel Agilex 7 I-Series FPGA ڈویلپمنٹ کٹ یوزر گائیڈ
  • Intel Agilex 7 I-Series Transceiver-SoC ڈویلپمنٹ کٹ یوزر گائیڈ
  • Intel Agilex 7 F-Series Transceiver-SoC ڈویلپمنٹ کٹ یوزر گائیڈ
  • Intel Stratix 10 GX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ یوزر گائیڈ
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
  • Intel Arria 10 GX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ یوزر گائیڈ

1.2 ڈیزائن تیار کرنا
شرط: ایک بار جب آپ eCPRI وصول کر لیں۔ web-کور آئی پی، محفوظ کریں۔ web- مقامی علاقے میں کور انسٹالر۔ ونڈوز/لینکس کے ساتھ انسٹالر چلائیں۔ جب اشارہ کیا جائے تو انسٹال کریں۔ webانٹیل کوارٹس پرائم فولڈر کے طور پر اسی مقام پر کور۔
eCPRI Intel FPGA IP اب IP کیٹلاگ میں ظاہر ہوتا ہے۔
اگر آپ کے پاس پہلے سے کوئی Intel Quartus Prime Pro Edition پروجیکٹ نہیں ہے جس میں آپ کے eCPRI Intel FPGA IP کور کو مربوط کرنا ہے، تو آپ کو ایک بنانا ہوگا۔

  1. Intel Quartus Prime Pro Edition سافٹ ویئر میں، کلک کریں۔ File ➤ نیا انٹیل کوارٹس پرائم پروجیکٹ بنانے کے لیے نیا پروجیکٹ وزرڈ، یا کلک کریں۔ File ➤ موجودہ انٹیل کوارٹس پرائم پروجیکٹ کو کھولنے کے لیے پروجیکٹ کھولیں۔ وزرڈ آپ کو ایک آلہ بتانے کا اشارہ کرتا ہے۔
  2. ڈیوائس فیملی اور ایک ڈیوائس کی وضاحت کریں جو اسپیڈ گریڈ کی ضروریات کو پورا کرتا ہو۔
  3. ختم پر کلک کریں۔
  4. IP کیٹلاگ میں، تلاش کریں اور eCPRI Intel FPGA IP پر ڈبل کلک کریں۔ نیا آئی پی ویرینٹ ونڈو ظاہر ہوتا ہے۔

ای سی پی آر آئی آئی پی ہارڈویئر ڈیزائن سابقہ ​​بنانے کے لیے ان اقدامات پر عمل کریں۔ampلی اور ٹیسٹ بینچ:

  1. IP کیٹلاگ میں، تلاش کریں اور eCPRI Intel FPGA IP پر ڈبل کلک کریں۔ نیا آئی پی ویرینٹ ونڈو ظاہر ہوتا ہے۔
  2. ٹھیک ہے پر کلک کریں۔ پیرامیٹر ایڈیٹر ظاہر ہوتا ہے۔
    تصویر 2۔ ExampeCPRI Intel FPGA IP پیرامیٹر ایڈیٹر میں ڈیزائن ٹیبeCPRI Intel FPGA IP ڈیزائن - شکل 2
  3. ایک اعلیٰ سطحی نام کی وضاحت کریں۔ آپ کے حسب ضرورت IP تغیرات کے لیے۔ پیرامیٹر ایڈیٹر IP تغیرات کی ترتیبات کو a میں محفوظ کرتا ہے۔ file نامزد .ip
  4. ٹھیک ہے پر کلک کریں۔ پیرامیٹر ایڈیٹر ظاہر ہوتا ہے۔
  5. جنرل ٹیب پر، اپنے IP بنیادی تغیرات کے لیے پیرامیٹرز کی وضاحت کریں۔
    نوٹ: • آپ کو eCPRI IP پیرامیٹر ایڈیٹر میں سٹریمنگ پیرامیٹر کو آن کرنا ہوگا جب آپ ڈیزائن سابقampانٹر ورکنگ فنکشن (IWF) سپورٹ پیرامیٹر کے ساتھ فعال،
    • آپ کو CPRI لائن بٹ ریٹ (Gbit/s) دوسروں پر سیٹ کرنا چاہیےampانٹر ورکنگ فنکشن (IWF) سپورٹ پیرامیٹر کے ساتھ فعال ہے۔
  6. سابق پرampلی ڈیزائن ٹیب، ٹیسٹ بینچ بنانے کے لیے سمولیشن آپشن کو منتخب کریں، ہارڈویئر سابقہ ​​کو جنریٹ کرنے کے لیے ترکیب کا آپشن منتخب کریں۔ampلی ڈیزائن، اور ٹیسٹ بینچ اور ہارڈ ویئر ڈیزائن دونوں کو تیار کرنے کے لیے ترکیب اور نقلی آپشن کا انتخاب کریں۔ample
  7. اعلی درجے کے تخروپن کے لیے زبان کے لیے file، Verilog یا VHDL کو منتخب کریں۔
    نوٹ: یہ آپشن صرف اس وقت دستیاب ہوتا ہے جب آپ اپنے سابق کے لیے سمولیشن آپشن کو منتخب کرتے ہیں۔ampلی ڈیزائن.
  8. اعلی درجے کی ترکیب کے لیے زبان کے لیے file، Verilog یا VHDL کو منتخب کریں۔
    نوٹ: یہ آپشن صرف اس وقت دستیاب ہوتا ہے جب آپ اپنے سابق کے لیے Synthesis آپشن کو منتخب کرتے ہیں۔ampلی ڈیزائن.
  9. چینلز کی تعداد کے لیے، آپ اپنے ڈیزائن کے لیے چینلز کی تعداد (1 سے 4) درج کر سکتے ہیں۔ پہلے سے طے شدہ قدر 1 ہے۔
  10. جنریٹ ایکس پر کلک کریں۔ampلی ڈیزائن. منتخب کریں سابقampلی ڈیزائن ڈائرکٹری ونڈو ظاہر ہوتی ہے۔
  11. اگر آپ ڈیزائن میں ترمیم کرنا چاہتے ہیں۔ample ڈائرکٹری کا راستہ یا نام ظاہر شدہ ڈیفالٹس سے (ecpri_0_testbench)، نئے پاتھ پر براؤز کریں اور نئے ڈیزائن کو ٹائپ کریں۔ample ڈائریکٹری کا نام۔
  12. ٹھیک ہے پر کلک کریں۔

متعلقہ معلومات
eCPRI Intel FPGA IP صارف گائیڈ
1.3 ڈائرکٹری کا ڈھانچہ
eCPRI IP کور ڈیزائن سابقample file ڈائریکٹریز مندرجہ ذیل پیدا پر مشتمل ہے fileڈیزائن سابق کے لئے sample

تصویر 3۔ جنریٹڈ سابق کی ڈائرکٹری کا ڈھانچہampلی ڈیزائنeCPRI Intel FPGA IP ڈیزائن - شکل 3

نوٹ:

  1. صرف Intel Arria 10 IP ڈیزائن سابق میں موجود ہے۔ampتبدیلی
  2. صرف Intel Stratix 10 (H-tile یا E-tile) IP ڈیزائن میں موجود ہے۔ampتبدیلی
  3. صرف Intel Agilex E-tile IP ڈیزائن میں موجود ہے۔ampتبدیلی

ٹیبل 1. eCPRI Intel FPGA IP کور ٹیسٹ بینچ File تفصیل

File نام  تفصیل
کلیدی ٹیسٹ بینچ اور تخروپن Files
<design_example_dir>/simulation/testbench/ ecpri_tb.sv ٹاپ لیول ٹیسٹ بینچ file. ٹیسٹ بینچ DUT ریپر کو فوری بناتا ہے اور پیکٹ بنانے اور قبول کرنے کے لیے ویریلوگ ایچ ڈی ایل ٹاسک چلاتا ہے۔
<design_example_dir>/simulation/testbench/ecpri_ed.sv DUT ریپر جو DUT اور دیگر ٹیسٹ بینچ اجزاء کو فوری بناتا ہے۔
<design_example_dir>/simulation/ed_fw/flow.c سی کوڈ کا ذریعہ file.
ٹیسٹ بینچ اسکرپٹس
<design_example_dir>/simulation/setup_scripts/mentor/run_vsim.do ٹیسٹ بینچ چلانے کے لیے سیمنز EDA QuestaSim اسکرپٹ۔
<design_example_dir>/simulation/setup_scripts/synopsys/vcs/run_vcs.sh ٹیسٹ بینچ چلانے کے لیے Synopsys VCS اسکرپٹ۔
<design_example_dir>/simulation/setup_scripts/synopsys/vcsmx/run_vcsmx.sh Synopsys VCS MX اسکرپٹ (مشترکہ Verilog HDL اور
VHDL کے ساتھ SystemVerilog) ٹیسٹ بینچ کو چلانے کے لیے۔
<design_example_dir>/simulation/setup_scripts/aldec/run_rivierapro.tcl ٹیسٹ بینچ چلانے کے لیے Aldec* Riviera-PRO اسکرپٹ۔
<design_example_dir>/simulation/setup_scripts/xcelium/run_xcelium.sh ٹیسٹ بینچ چلانے کے لیے Cadence* Xcelium اسکرپٹ۔

جدول 2۔ eCPRI Intel FPGA IP کور ہارڈ ویئر ڈیزائن سابقample File تفصیل

File نام تفصیل
<design_example_dir>/synthesis/quartus/ecpri_ed.qpf انٹیل کوارٹس پرائم پروجیکٹ file.
<design_example_dir>/synthesis/quartus/ecpri_ed.qsf انٹیل کوارٹس پرائم پروجیکٹ کی ترتیب file.
<design_example_dir>/synthesis/quartus/ecpri_ed.sdc Synopsys ڈیزائن کی پابندیاں files آپ ان کو کاپی اور ترمیم کرسکتے ہیں۔ fileآپ کے اپنے Intel Stratix 10 ڈیزائن کے لیے۔
<design_example_dir>/synthesis/testbench/ecpri_ed_top.sv ٹاپ لیول ویریلوگ ایچ ڈی ایل ڈیزائن سابقample file.
<design_example_dir>/synthesis/testbench/ecpri_ed.sv DUT ریپر جو DUT اور دیگر ٹیسٹ بینچ اجزاء کو فوری بناتا ہے۔
<design_example_dir>/synthesis/quartus/ecpri_s10.tcl مین file سسٹم کنسول تک رسائی کے لیے (انٹیل اسٹریٹکس 10 ایچ ٹائل اور ای ٹائل ڈیزائن میں دستیاب ہے)۔
<design_example_dir>/synthesis/quartus/ecpri_a10.tcl مین file سسٹم کنسول تک رسائی کے لیے (Intel Arria 10 ڈیزائن میں دستیاب ہے)۔
<design_example_dir>/synthesis/quartus/ ecpri_agilex.tcl مین file سسٹم کنسول تک رسائی کے لیے (Intel Agilex 7 ڈیزائن میں دستیاب ہے)۔

1.4 ڈیزائن کی تقلید سابقampلی ٹیسٹ بینچ
شکل 4۔ طریقہ کارeCPRI Intel FPGA IP ڈیزائن - شکل 4

ٹیسٹ بینچ کی تقلید کے لیے ان اقدامات پر عمل کریں:

  1. کمانڈ پرامپٹ پر، ٹیسٹ بینچ سمولیشن ڈائرکٹری میں تبدیل کریں۔ample_dir>/simulation/setup_scripts.
  2. Intel Agilex F-tile ڈیوائس کی مختلف حالتوں کے لیے، ان مراحل پر عمل کریں:
    a <design_ex پر جائیں۔ample_dir>/simulation/quartus ڈائریکٹری اور ذیل میں ان دو کمانڈز کو چلائیں: quartus_ipgenerate –run_default_mode_op ecpri_ed -c ecpri_ed quartus_tlg ecpri_ed
    متبادل طور پر، آپ انٹیل کوارٹس پرائم پرو ایڈیشن میں ecpri_ed.qpf پروجیکٹ کو کھول سکتے ہیں اور اس وقت تک تالیف انجام دے سکتے ہیں جب تک کہ سپورٹ لاجک جنریشنtage.
    ب <design_ex پر جائیں۔ample_dir>/simulation/setup_scripts ڈائریکٹری۔
    c درج ذیل کمانڈ کو چلائیں: ip-setup-simulation -–quartus-project=../quartus/ecpri_ed.qpf
  3. اپنی پسند کے معاون سمیلیٹر کے لیے نقلی اسکرپٹ چلائیں۔ اسکرپٹ سمیلیٹر میں ٹیسٹ بینچ کو مرتب اور چلاتا ہے۔ ٹیبل سے رجوع کریں ٹیسٹ بینچ کی تقلید کے لیے اقدامات۔
    نوٹ: تخروپن کے لیے VHDL زبان کی حمایت صرف QuestaSim اور VCS MX سمیلیٹرز کے ساتھ دستیاب ہے۔ تخروپن کے لیے ویریلوگ زبان کی معاونت ٹیبل میں درج تمام سمیلیٹروں کے لیے دستیاب ہے: ٹیسٹ بینچ کی نقل کرنے کے لیے اقدامات۔
  4. نتائج کا تجزیہ کریں۔ کامیاب ٹیسٹ بینچ پیکٹ بھیجتا اور وصول کرتا ہے، اور "PASSED" دکھاتا ہے۔

ٹیبل 3۔ ٹیسٹ بینچ کی تقلید کے لیے اقدامات

سمیلیٹر ہدایات
کوسٹاسم کمانڈ لائن میں، vsim -do run_vsim.do ٹائپ کریں اگر آپ QuestaSim GUI کو سامنے لائے بغیر نقل کرنا پسند کرتے ہیں، vsim -c -do run_vsim.do ٹائپ کریں۔
VCS • کمانڈ لائن میں، sh run_vcs.sh ٹائپ کریں۔
• <design_ex پر جائیں۔ample_dir>/simulation/setup_scripts/ synopsys/vcs اور درج ذیل کمانڈ کو چلائیں: sh run_vcs.sh
VCS MX کمانڈ لائن میں، sh run_vcsmx.sh ٹائپ کریں۔
رویرا-پی آر او کمانڈ لائن میں ٹائپ کریں vsim -c -do run_rivierapro.tcl
نوٹ: صرف Intel Stratix 10 H-ٹائل ڈیزائن کی مختلف حالتوں میں تعاون یافتہ ہے۔
Xcelium(1) کمانڈ لائن میں، sh run_xcelium.sh ٹائپ کریں۔
  1. یہ سمیلیٹر eCPRI Intel FPGA IP ڈیزائن سابق کے لیے تعاون یافتہ نہیں ہے۔ampIWF خصوصیت فعال کے ساتھ تیار کردہ۔

Sampلی آؤٹ پٹ: مندرجہ ذیل ایسampلی آؤٹ پٹ ای سی پی آر آئی آئی پی ڈیزائن کے ایک کامیاب سمولیشن ٹیسٹ رن کی وضاحت کرتا ہے۔ampLE بغیر IWF فیچر فعال کردہ چینلز کی تعداد = 4 کے ساتھ:

# RX سیدھ کا انتظار کر رہا ہے۔
# RX ڈیسکیو مقفل ہے۔
# RX لین کی سیدھ بند ہے۔
# لنک کی خرابی صاف ہونے کا انتظار ہے۔
# لنک کی خرابی صاف
# میک سورس ایڈریس 0_0 چینل 0: 33445566
# میک سورس ایڈریس 0_1 چینل 0: 00007788
# MAC منزل کا پتہ 0_0 چینل 0: 33445566
# MAC منزل کا پتہ 0_1 چینل 0: 00007788
# MAC منزل کا پتہ 1_0 چینل 0: 11223344
# MAC منزل کا پتہ 1_1 چینل 0: 00005566
# MAC منزل کا پتہ 2_0 چینل 0: 22334455
# MAC منزل کا پتہ 2_1 چینل 0: 00006677
# MAC منزل کا پتہ 3_0 چینل 0: 44556677
# MAC منزل کا پتہ 3_1 چینل 0: 00008899
# MAC منزل کا پتہ 4_0 چینل 0: 66778899
# MAC منزل کا پتہ 4_1 چینل 0: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 0: 778899aa
# MAC منزل کا پتہ 5_1 چینل 0: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 0: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 0: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 0: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 0: 0000ddee
# eCPRI کامن کنٹرول چینل 0: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 0: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 0: 2
# میک سورس ایڈریس 0_0 چینل 1: 33445566
# میک سورس ایڈریس 0_1 چینل 1: 00007788
# MAC منزل کا پتہ 0_0 چینل 1: 33445566
# MAC منزل کا پتہ 0_1 چینل 1: 00007788
# MAC منزل کا پتہ 1_0 چینل 1: 11223344
# MAC منزل کا پتہ 1_1 چینل 1: 00005566
# MAC منزل کا پتہ 2_0 چینل 1: 22334455
# MAC منزل کا پتہ 2_1 چینل 1: 00006677
# MAC منزل کا پتہ 3_0 چینل 1: 44556677
# MAC منزل کا پتہ 3_1 چینل 1: 00008899
# MAC منزل کا پتہ 4_0 چینل 1: 66778899
# MAC منزل کا پتہ 4_1 چینل 1: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 1: 778899aa
# MAC منزل کا پتہ 5_1 چینل 1: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 1: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 1: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 1: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 1: 0000ddee
# eCPRI کامن کنٹرول چینل 1: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 1: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 1: 2
# میک سورس ایڈریس 0_0 چینل 2: 33445566
# میک سورس ایڈریس 0_1 چینل 2: 00007788
# MAC منزل کا پتہ 0_0 چینل 2: 33445566
# MAC منزل کا پتہ 0_1 چینل 2: 00007788
# MAC منزل کا پتہ 1_0 چینل 2: 11223344
# MAC منزل کا پتہ 1_1 چینل 2: 00005566
# MAC منزل کا پتہ 2_0 چینل 2: 22334455
# MAC منزل کا پتہ 2_1 چینل 2: 00006677
# MAC منزل کا پتہ 3_0 چینل 2: 44556677
# MAC منزل کا پتہ 3_1 چینل 2: 00008899
# MAC منزل کا پتہ 4_0 چینل 2: 66778899
# MAC منزل کا پتہ 4_1 چینل 2: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 2: 778899aa
# MAC منزل کا پتہ 5_1 چینل 2: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 2: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 2: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 2: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 2: 0000ddee
# eCPRI کامن کنٹرول چینل 2: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 2: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 2: 2
# میک سورس ایڈریس 0_0 چینل 3: 33445566
# میک سورس ایڈریس 0_1 چینل 3: 00007788
# MAC منزل کا پتہ 0_0 چینل 3: 33445566
# MAC منزل کا پتہ 0_1 چینل 3: 00007788
# MAC منزل کا پتہ 1_0 چینل 3: 11223344
# MAC منزل کا پتہ 1_1 چینل 3: 00005566
# MAC منزل کا پتہ 2_0 چینل 3: 22334455
# MAC منزل کا پتہ 2_1 چینل 3: 00006677
# MAC منزل کا پتہ 3_0 چینل 3: 44556677
# MAC منزل کا پتہ 3_1 چینل 3: 00008899
# MAC منزل کا پتہ 4_0 چینل 3: 66778899
# MAC منزل کا پتہ 4_1 چینل 3: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 3: 778899aa
# MAC منزل کا پتہ 5_1 چینل 3: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 3: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 3: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 3: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 3: 0000ddee
# eCPRI کامن کنٹرول چینل 3: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 3: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 3: 2
#_________________________________________________________
# INFO: ری سیٹ اسٹیٹس سے باہر
#_________________________________________________________
#
#
# چینل 0 eCPRI TX SOPs شمار: 0
# چینل 0 eCPRI TX EOPs شمار: 0
# چینل 0 eCPRI RX SOPs شمار: 0
# چینل 0 eCPRI RX EOPs شمار: 0
# چینل 0 بیرونی PTP TX SOPs شمار: 0
# چینل 0 بیرونی PTP TX EOPs شمار: 0
# چینل 0 بیرونی MISC TX SOPs شمار: 0
# چینل 0 بیرونی MISC TX EOPs شمار: 0
# چینل 0 بیرونی RX SOPs کی گنتی: 0
# چینل 0 بیرونی RX EOPs شمار: 0
# چینل 1 eCPRI TX SOPs شمار: 0
# چینل 1 eCPRI TX EOPs شمار: 0
# چینل 1 eCPRI RX SOPs شمار: 0
# چینل 1 eCPRI RX EOPs شمار: 0
# چینل 1 بیرونی PTP TX SOPs شمار: 0
# چینل 1 بیرونی PTP TX EOPs شمار: 0
# چینل 1 بیرونی MISC TX SOPs شمار: 0
# چینل 1 بیرونی MISC TX EOPs شمار: 0
# چینل 1 بیرونی RX SOPs کی گنتی: 0
# چینل 1 بیرونی RX EOPs شمار: 0
# چینل 2 eCPRI TX SOPs شمار: 0
# چینل 2 eCPRI TX EOPs شمار: 0
# چینل 2 eCPRI RX SOPs شمار: 0
# چینل 2 eCPRI RX EOPs شمار: 0
# چینل 2 بیرونی PTP TX SOPs شمار: 0
# چینل 2 بیرونی PTP TX EOPs شمار: 0
# چینل 2 بیرونی MISC TX SOPs شمار: 0
# چینل 2 بیرونی MISC TX EOPs شمار: 0
# چینل 2 بیرونی RX SOPs کی گنتی: 0
# چینل 2 بیرونی RX EOPs شمار: 0
# چینل 3 eCPRI TX SOPs شمار: 0
# چینل 3 eCPRI TX EOPs شمار: 0
# چینل 3 eCPRI RX SOPs شمار: 0
# چینل 3 eCPRI RX EOPs شمار: 0
# چینل 3 بیرونی PTP TX SOPs شمار: 0
# چینل 3 بیرونی PTP TX EOPs شمار: 0
# چینل 3 بیرونی MISC TX SOPs شمار: 0
# چینل 3 بیرونی MISC TX EOPs شمار: 0
# چینل 3 بیرونی RX SOPs کی گنتی: 0
# چینل 3 بیرونی RX EOPs شمار: 0
#_________________________________________________________
# معلومات: پیکٹ کی ترسیل شروع کریں۔
#_________________________________________________________
#
#
# معلومات: چینل 0 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 0 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 0 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 0 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 0 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 0 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 1 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 1 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 1 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 1 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 1 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 1 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 2 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 2 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 2 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 2 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 2 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 2 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 3 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 3 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 3 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 3 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 3 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 3 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
#_________________________________________________________
# معلومات: پیکٹ کی ترسیل بند کریں۔
#_________________________________________________________
#
#
#_________________________________________________________
# معلومات: پیکٹ کے اعدادوشمار کی جانچ کرنا
#_________________________________________________________
#
#
# چینل 0 eCPRI SOPs منتقل کیے گئے: 300
# چینل 0 eCPRI EOPs منتقل کیا گیا: 300
# چینل 0 eCPRI SOPs موصول ہوئے: 300
# چینل 0 eCPRI EOPs موصول ہوئے: 300
# چینل 0 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 0 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 0 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 0 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 0 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 0 بیرونی SOPs موصول ہوئے: 132
# چینل 0 بیرونی EOPs موصول ہوئے: 132
# چینل 0 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 0 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 0 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 0 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 0 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 0 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
# چینل 1 eCPRI SOPs منتقل کیے گئے: 300
# چینل 1 eCPRI EOPs منتقل کیا گیا: 300
# چینل 1 eCPRI SOPs موصول ہوئے: 300
# چینل 1 eCPRI EOPs موصول ہوئے: 300
# چینل 1 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 1 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 1 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 1 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 1 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 1 بیرونی SOPs موصول ہوئے: 132
# چینل 1 بیرونی EOPs موصول ہوئے: 132
# چینل 1 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 1 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 1 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 1 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 1 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 1 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
# چینل 2 eCPRI SOPs منتقل کیے گئے: 300
# چینل 2 eCPRI EOPs منتقل کیا گیا: 300
# چینل 2 eCPRI SOPs موصول ہوئے: 300
# چینل 2 eCPRI EOPs موصول ہوئے: 300
# چینل 2 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 2 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 2 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 2 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 2 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 2 بیرونی SOPs موصول ہوئے: 132
# چینل 2 بیرونی EOPs موصول ہوئے: 132
# چینل 2 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 2 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 2 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 2 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 2 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 2 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
# چینل 3 eCPRI SOPs منتقل کیے گئے: 300
# چینل 3 eCPRI EOPs منتقل کیا گیا: 300
# چینل 3 eCPRI SOPs موصول ہوئے: 300
# چینل 3 eCPRI EOPs موصول ہوئے: 300
# چینل 3 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 3 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 3 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 3 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 3 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 3 بیرونی SOPs موصول ہوئے: 132
# چینل 3 بیرونی EOPs موصول ہوئے: 132
# چینل 3 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 3 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 3 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 3 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 3 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 3 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
#_________________________________________________________
# معلومات: ٹیسٹ پاس ہو گیا۔
#
#_________________________________________________________

Sampلی آؤٹ پٹ: مندرجہ ذیل ایسampلی آؤٹ پٹ ای سی پی آر آئی آئی پی ڈیزائن کے ایک کامیاب سمولیشن ٹیسٹ رن کی وضاحت کرتا ہے۔ample IWF خصوصیت کے ساتھ چینلز کی تعداد = 4 کے ساتھ فعال:

# CPRI TX کو فعال کریں۔
# CPRI چینل 0 L1_CONFIG : 00000001
# CPRI چینل 0 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI چینل 1 L1_CONFIG : 00000001
# CPRI چینل 1 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI چینل 2 L1_CONFIG : 00000001
# CPRI چینل 2 CPRI_CORE_CM_CONFIG : 00001ed4
# CPRI چینل 3 L1_CONFIG : 00000001
# CPRI چینل 3 CPRI_CORE_CM_CONFIG : 00001ed4
# RX سیدھ کا انتظار کر رہا ہے۔
# RX ڈیسکیو مقفل ہے۔
# RX لین کی سیدھ بند ہے۔
# لنک کی خرابی صاف ہونے کا انتظار ہے۔
# لنک کی خرابی صاف
# میک سورس ایڈریس 0_0 چینل 0: 33445566
# میک سورس ایڈریس 0_1 چینل 0: 00007788
# MAC منزل کا پتہ 0_0 چینل 0: 33445566
# MAC منزل کا پتہ 0_1 چینل 0: 00007788
# MAC منزل کا پتہ 1_0 چینل 0: 11223344
# MAC منزل کا پتہ 1_1 چینل 0: 00005566
# MAC منزل کا پتہ 2_0 چینل 0: 22334455
# MAC منزل کا پتہ 2_1 چینل 0: 00006677
# MAC منزل کا پتہ 3_0 چینل 0: 44556677
# MAC منزل کا پتہ 3_1 چینل 0: 00008899
# MAC منزل کا پتہ 4_0 چینل 0: 66778899
# MAC منزل کا پتہ 4_1 چینل 0: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 0: 778899aa
# MAC منزل کا پتہ 5_1 چینل 0: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 0: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 0: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 0: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 0: 0000ddee
# eCPRI کامن کنٹرول چینل 0: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 0: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 0: 2
# میک سورس ایڈریس 0_0 چینل 1: 33445566
# میک سورس ایڈریس 0_1 چینل 1: 00007788
# MAC منزل کا پتہ 0_0 چینل 1: 33445566
# MAC منزل کا پتہ 0_1 چینل 1: 00007788
# MAC منزل کا پتہ 1_0 چینل 1: 11223344
# MAC منزل کا پتہ 1_1 چینل 1: 00005566
# MAC منزل کا پتہ 2_0 چینل 1: 22334455
# MAC منزل کا پتہ 2_1 چینل 1: 00006677
# MAC منزل کا پتہ 3_0 چینل 1: 44556677
# MAC منزل کا پتہ 3_1 چینل 1: 00008899
# MAC منزل کا پتہ 4_0 چینل 1: 66778899
# MAC منزل کا پتہ 4_1 چینل 1: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 1: 778899aa
# MAC منزل کا پتہ 5_1 چینل 1: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 1: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 1: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 1: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 1: 0000ddee
# eCPRI کامن کنٹرول چینل 1: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 1: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 1: 2
# میک سورس ایڈریس 0_0 چینل 2: 33445566
# میک سورس ایڈریس 0_1 چینل 2: 00007788
# MAC منزل کا پتہ 0_0 چینل 2: 33445566
# MAC منزل کا پتہ 0_1 چینل 2: 00007788
# MAC منزل کا پتہ 1_0 چینل 2: 11223344
# MAC منزل کا پتہ 1_1 چینل 2: 00005566
# MAC منزل کا پتہ 2_0 چینل 2: 22334455
# MAC منزل کا پتہ 2_1 چینل 2: 00006677
# MAC منزل کا پتہ 3_0 چینل 2: 44556677
# MAC منزل کا پتہ 3_1 چینل 2: 00008899
# MAC منزل کا پتہ 4_0 چینل 2: 66778899
# MAC منزل کا پتہ 4_1 چینل 2: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 2: 778899aa
# MAC منزل کا پتہ 5_1 چینل 2: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 2: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 2: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 2: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 2: 0000ddee
# eCPRI کامن کنٹرول چینل 2: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 2: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 2: 2
# میک سورس ایڈریس 0_0 چینل 3: 33445566
# میک سورس ایڈریس 0_1 چینل 3: 00007788
# MAC منزل کا پتہ 0_0 چینل 3: 33445566
# MAC منزل کا پتہ 0_1 چینل 3: 00007788
# MAC منزل کا پتہ 1_0 چینل 3: 11223344
# MAC منزل کا پتہ 1_1 چینل 3: 00005566
# MAC منزل کا پتہ 2_0 چینل 3: 22334455
# MAC منزل کا پتہ 2_1 چینل 3: 00006677
# MAC منزل کا پتہ 3_0 چینل 3: 44556677
# MAC منزل کا پتہ 3_1 چینل 3: 00008899
# MAC منزل کا پتہ 4_0 چینل 3: 66778899
# MAC منزل کا پتہ 4_1 چینل 3: 0000aabb
# MAC منزل کا پتہ 5_0 چینل 3: 778899aa
# MAC منزل کا پتہ 5_1 چینل 3: 0000bbcc
# MAC منزل کا پتہ 6_0 چینل 3: 8899aabb
# MAC منزل کا پتہ 6_1 چینل 3: 0000ccdd
# MAC منزل کا پتہ 7_0 چینل 3: 99aabbcc
# MAC منزل کا پتہ 7_1 چینل 3: 0000ddee
# eCPRI کامن کنٹرول چینل 3: 00000041
# انٹرپٹ ای سی پی آر آئی کامن کنٹرول چینل 3: 00000241 کو فعال کریں۔
# eCPRI ورژن چینل 3: 2
# CPRI کا HSYNC لنک اپ اسٹیٹ حاصل کرنے کا انتظار
# CPRI چینل 0 HSYNC حالت حاصل کر لی گئی۔
# CPRI چینل 1 HSYNC حالت حاصل کر لی گئی۔
# CPRI چینل 2 HSYNC حالت حاصل کر لی گئی۔
# CPRI چینل 3 HSYNC حالت حاصل کر لی گئی۔
# 11100250000 nego_bitrate_complete پر 1 لکھیں
#11100650000 پولنگ PROT_VER چینل 0
#_________________________________________________________
#11100850000 پولنگ رجسٹر: a0000010
#_________________________________________________________
#13105050000 پولنگ PROT_VER چینل 1
#_________________________________________________________
#13105250000 پولنگ رجسٹر: a0800010
#_________________________________________________________
#13105950000 پولنگ PROT_VER چینل 2
#_________________________________________________________
#13106150000 پولنگ رجسٹر: a1000010
#_________________________________________________________
#13106850000 پولنگ PROT_VER چینل 3
#_________________________________________________________
#13107050000 پولنگ رجسٹر: a1800010
#_________________________________________________________
# 13107750000 nego_protol_complete پر 1 لکھیں
#13108150000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چینل 0
#_________________________________________________________
#13108350000 پولنگ رجسٹر: a0000020
#_________________________________________________________
#14272050000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چینل 1
#_________________________________________________________
#14272250000 پولنگ رجسٹر: a0800020
#_________________________________________________________
#14272950000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چینل 2
#_________________________________________________________
#14273150000 پولنگ رجسٹر: a1000020
#_________________________________________________________
#14273850000 پولنگ CM_STATUS.rx_fast_cm_ptr_valid چینل 3
#_________________________________________________________
#14274050000 پولنگ رجسٹر: a1800020
#_________________________________________________________
# 14274750000 nego_cm_complete پر 1 لکھیں۔
# 14275150000 nego_vss_complete پر 1 لکھیں
# CPRI چینل 0 کا HSYNC اور سٹارٹ اپ ترتیب FSM STATE_F حاصل کرنے کا انتظار
# CPRI چینل 0 HSYNC اور اسٹارٹ اپ ترتیب FSM STATE_F حاصل کیا گیا
# CPRI چینل 1 کا HSYNC اور سٹارٹ اپ ترتیب FSM STATE_F حاصل کرنے کا انتظار
# CPRI چینل 1 HSYNC اور اسٹارٹ اپ ترتیب FSM STATE_F حاصل کیا گیا
# CPRI چینل 2 کا HSYNC اور سٹارٹ اپ ترتیب FSM STATE_F حاصل کرنے کا انتظار
# CPRI چینل 2 HSYNC اور اسٹارٹ اپ ترتیب FSM STATE_F حاصل کیا گیا
# CPRI چینل 3 کا HSYNC اور سٹارٹ اپ ترتیب FSM STATE_F حاصل کرنے کا انتظار
# CPRI چینل 3 HSYNC اور اسٹارٹ اپ ترتیب FSM STATE_F حاصل کیا گیا
#_________________________________________________________
# INFO: ری سیٹ اسٹیٹس سے باہر
#_________________________________________________________
#
#
# چینل 0 eCPRI TX SOPs شمار: 0
# چینل 0 eCPRI TX EOPs شمار: 0
# چینل 0 eCPRI RX SOPs شمار: 0
# چینل 0 eCPRI RX EOPs شمار: 0
# چینل 0 بیرونی PTP TX SOPs شمار: 0
# چینل 0 بیرونی PTP TX EOPs شمار: 0
# چینل 0 بیرونی MISC TX SOPs شمار: 0
# چینل 0 بیرونی MISC TX EOPs شمار: 0
# چینل 0 بیرونی RX SOPs کی گنتی: 0
# چینل 0 بیرونی RX EOPs شمار: 0
# چینل 1 eCPRI TX SOPs شمار: 0
# چینل 1 eCPRI TX EOPs شمار: 0
# چینل 1 eCPRI RX SOPs شمار: 0
# چینل 1 eCPRI RX EOPs شمار: 0
# چینل 1 بیرونی PTP TX SOPs شمار: 0
# چینل 1 بیرونی PTP TX EOPs شمار: 0
# چینل 1 بیرونی MISC TX SOPs شمار: 0
# چینل 1 بیرونی MISC TX EOPs شمار: 0
# چینل 1 بیرونی RX SOPs کی گنتی: 0
# چینل 1 بیرونی RX EOPs شمار: 0
# چینل 2 eCPRI TX SOPs شمار: 0
# چینل 2 eCPRI TX EOPs شمار: 0
# چینل 2 eCPRI RX SOPs شمار: 0
# چینل 2 eCPRI RX EOPs شمار: 0
# چینل 2 بیرونی PTP TX SOPs شمار: 0
# چینل 2 بیرونی PTP TX EOPs شمار: 0
# چینل 2 بیرونی MISC TX SOPs شمار: 0
# چینل 2 بیرونی MISC TX EOPs شمار: 0
# چینل 2 بیرونی RX SOPs کی گنتی: 0
# چینل 2 بیرونی RX EOPs شمار: 0
# چینل 3 eCPRI TX SOPs شمار: 0
# چینل 3 eCPRI TX EOPs شمار: 0
# چینل 3 eCPRI RX SOPs شمار: 0
# چینل 3 eCPRI RX EOPs شمار: 0
# چینل 3 بیرونی PTP TX SOPs شمار: 0
# چینل 3 بیرونی PTP TX EOPs شمار: 0
# چینل 3 بیرونی MISC TX SOPs شمار: 0
# چینل 3 بیرونی MISC TX EOPs شمار: 0
# چینل 3 بیرونی RX SOPs کی گنتی: 0
# چینل 3 بیرونی RX EOPs شمار: 0
#_________________________________________________________
# معلومات: پیکٹ کی ترسیل شروع کریں۔
#_________________________________________________________
#
#
# معلومات: چینل 0 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 0 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 0 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 0 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 0 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 0 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 1 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 1 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 1 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 1 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 1 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 1 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 2 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 2 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 2 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 2 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 2 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 2 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 3 eCPRI TX ٹریفک کی منتقلی مکمل ہونے کا انتظار ہے۔
# معلومات: چینل 3 eCPRI TX ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 3 eCPRI External TX PTP ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 3 eCPRI External TX PTP ٹریفک کی منتقلی مکمل ہو گئی۔
# معلومات: چینل 3 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی کا انتظار
مکمل
# معلومات: چینل 3 eCPRI بیرونی TX متفرق ٹریفک کی منتقلی مکمل ہو گئی۔
#_________________________________________________________
# معلومات: پیکٹ کی ترسیل بند کریں۔
#_________________________________________________________
#
#
#_________________________________________________________
# معلومات: پیکٹ کے اعدادوشمار کی جانچ کرنا
#_________________________________________________________
#
#
# چینل 0 eCPRI SOPs منتقل کیے گئے: 50
# چینل 0 eCPRI EOPs منتقل کیا گیا: 50
# چینل 0 eCPRI SOPs موصول ہوئے: 50
# چینل 0 eCPRI EOPs موصول ہوئے: 50
# چینل 0 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 0 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 0 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 0 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 0 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 0 بیرونی SOPs موصول ہوئے: 132
# چینل 0 بیرونی EOPs موصول ہوئے: 132
# چینل 0 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 0 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 0 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 0 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 0 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 0 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
# چینل 1 eCPRI SOPs منتقل کیے گئے: 50
# چینل 1 eCPRI EOPs منتقل کیا گیا: 50
# چینل 1 eCPRI SOPs موصول ہوئے: 50
# چینل 1 eCPRI EOPs موصول ہوئے: 50
# چینل 1 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 1 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 1 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 1 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 1 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 1 بیرونی SOPs موصول ہوئے: 132
# چینل 1 بیرونی EOPs موصول ہوئے: 132
# چینل 1 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 1 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 1 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 1 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 1 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 1 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
# چینل 2 eCPRI SOPs منتقل کیے گئے: 50
# چینل 2 eCPRI EOPs منتقل کیا گیا: 50
# چینل 2 eCPRI SOPs موصول ہوئے: 50
# چینل 2 eCPRI EOPs موصول ہوئے: 50
# چینل 2 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 2 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 2 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 2 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 2 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 2 بیرونی SOPs موصول ہوئے: 132
# چینل 2 بیرونی EOPs موصول ہوئے: 132
# چینل 2 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 2 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 2 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 2 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 2 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 2 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
# چینل 3 eCPRI SOPs منتقل کیے گئے: 50
# چینل 3 eCPRI EOPs منتقل کیا گیا: 50
# چینل 3 eCPRI SOPs موصول ہوئے: 50
# چینل 3 eCPRI EOPs موصول ہوئے: 50
# چینل 3 eCPRI کی خرابی کی اطلاع دی گئی: 0
# چینل 3 بیرونی PTP SOPs منتقل کیے گئے: 4
# چینل 3 بیرونی PTP EOPs منتقل کیا گیا: 4
# چینل 3 بیرونی MISC SOPs منتقل کیے گئے: 128
# چینل 3 بیرونی MISC EOPs منتقل کیے گئے: 128
# چینل 3 بیرونی SOPs موصول ہوئے: 132
# چینل 3 بیرونی EOPs موصول ہوئے: 132
# چینل 3 بیرونی PTP SOPs موصول ہوئے: 4
# چینل 3 بیرونی PTP EOPs موصول ہوئے: 4
# چینل 3 بیرونی MISC SOPs موصول ہوئے: 128
# چینل 3 بیرونی MISC EOPs موصول ہوئے: 128
# چینل 3 بیرونی خرابی کی اطلاع دی گئی: 0
# چینل 3 ایکسٹرنل ٹائمسٹamp فنگر پرنٹ کی خرابی کی اطلاع دی گئی: 0
#_________________________________________________________
# معلومات: ٹیسٹ پاس ہو گیا۔
#
#_________________________________________________________

1.4.1 ایتھرنیٹ آئی پی میں ڈائنامک ری کنفیگریشن کو فعال کرنا
پہلے سے طے شدہ طور پر، متحرک ری کنفیگریشن eCPRI IP ڈیزائن سابق میں غیر فعال ہے۔ample اور یہ صرف Intel Stratix 10 (E-tile and H-tile) اور Intel Agilex 7 (E-tile) ڈیزائن پر لاگو ہوتا ہے۔amples

  1. تیار کردہ <design_ex سے test_wrapper.sv میں درج ذیل لائن کو دیکھیںample_dir>/simulation/testbench ڈائریکٹری: پیرامیٹر ETHERNET_DR_EN = 0
  2. قدر کو 0 سے 1 میں تبدیل کریں: پیرامیٹر ETHERNET_DR_EN = 1
  3. اسی تیار کردہ سابق کا استعمال کرتے ہوئے نقلی کو دوبارہ چلائیں۔ampلی ڈیزائن ڈائریکٹری۔

1.5 تالیف صرف پروجیکٹ کو مرتب کرنا
صرف تالیف کو مرتب کرنے کے لیے exampلی پروجیکٹ، ان اقدامات پر عمل کریں:

  1. تالیف ڈیزائن کو یقینی بنائیں سابقampلی نسل مکمل ہو گئی ہے۔
  2. Intel Quartus Prime Pro Edition سافٹ ویئر میں، Intel Quartus Prime Pro Edition پروجیکٹ کو کھولیں۔ample_dir>/synthesis/quartus/ ecpri_ed.qpf۔
  3. پروسیسنگ مینو پر، تالیف شروع کریں پر کلک کریں۔
  4. کامیاب تالیف کے بعد، وقت اور وسائل کے استعمال کے لیے رپورٹس آپ کے Intel Quartus Prime Pro Edition سیشن میں دستیاب ہیں۔ پروسیسنگ پر جائیں ➤ کمپائلیشن رپورٹ ٹو view تالیف پر تفصیلی رپورٹ۔
    متعلقہ معلومات
    بلاک پر مبنی ڈیزائن کے بہاؤ

1.6 ڈیزائن کو مرتب کرنا اور ترتیب دینا Exampہارڈ ویئر میں le
ہارڈ ویئر ڈیزائن کو مرتب کرنے کے لیے سابقample اور اسے اپنے Intel ڈیوائس پر ترتیب دیں، ان مراحل پر عمل کریں:

  1. ہارڈ ویئر ڈیزائن کو یقینی بنائیںampلی نسل مکمل ہو گئی ہے۔
  2. Intel Quartus Prime Pro Edition سافٹ ویئر میں، Intel Quartus Prime پروجیکٹ کو کھولیں۔ample_dir>/synthesis/quartus/ecpri_ed.qpf۔
  3. پروسیسنگ مینو پر، تالیف شروع کریں پر کلک کریں۔
  4. کامیاب تالیف کے بعد، ایک .sof file میں دستیاب ہے۔ample_dir>/ synthesis/quartus/output_files ڈائریکٹری. ہارڈ ویئر ڈیزائن سابق کو پروگرام کرنے کے لیے ان اقدامات پر عمل کریں۔ampلی انٹیل ایف پی جی اے ڈیوائس پر:
    a ڈویلپمنٹ کٹ کو میزبان کمپیوٹر سے جوڑیں۔
    ب کلاک کنٹرول ایپلیکیشن لانچ کریں، جو کہ ڈیولپمنٹ کٹ کا حصہ ہے، اور ڈیزائن کے لیے نئی فریکوئنسی سیٹ کریں۔ample ذیل میں کلاک کنٹرول ایپلی کیشن میں فریکوئنسی سیٹنگ ہے:
    • اگر آپ Intel Stratix 10 GX SI ڈویلپمنٹ کٹ پر اپنے ڈیزائن کو نشانہ بنا رہے ہیں:
    — U5، OUT8- 100 MHz
    — U6، OUT3- 322.265625 MHz
    — U6، OUT4 اور OUT5- 307.2 میگاہرٹز
    • اگر آپ Intel Stratix 10 TX SI ڈویلپمنٹ کٹ پر اپنے ڈیزائن کو نشانہ بنا رہے ہیں:
    — U1, CLK4- 322.265625 MHz (25G ڈیٹا ریٹ کے لیے)
    — U6- 156.25 MHz (10G ڈیٹا ریٹ کے لیے)
    — U3، OUT3- 100 MHz
    — U3، OUT8- 153.6 MHz
    • اگر آپ Intel Agilex 7 F-Series Transceiver-SoC ڈویلپمنٹ کٹ پر اپنے ڈیزائن کو نشانہ بنا رہے ہیں:
    — U37, CLK1A- 100 میگاہرٹز
    — U34, CLK0P- 156.25 میگاہرٹز
    — U38، OUT2_P- 153.6 میگاہرٹز
    • اگر آپ Intel Arria 10 GX SI ڈویلپمنٹ کٹ پر اپنے ڈیزائن کو نشانہ بنا رہے ہیں:
    — U52, CLK0- 156.25 میگاہرٹز
    — U52, CLK1- 250 میگاہرٹز
    — U52, CLK3- 125 میگاہرٹز
    — Y5- 307.2 میگاہرٹز
    — Y6- 322.265625 میگاہرٹز
    c ٹولز مینو پر، پروگرامر پر کلک کریں۔
    d پروگرامر میں، ہارڈ ویئر سیٹ اپ پر کلک کریں۔
    e ایک پروگرامنگ ڈیوائس منتخب کریں۔
    f ڈیولپمنٹ کٹ کو منتخب کریں اور شامل کریں جس سے آپ کا Intel Quartus Prime Pro Edition سیشن منسلک ہو سکتا ہے۔
    جی یقینی بنائیں کہ موڈ J پر سیٹ ہے۔TAG.
    h ڈیوائس کو منتخب کریں اور ڈیوائس شامل کریں پر کلک کریں۔ پروگرامر آپ کے بورڈ پر موجود آلات کے درمیان کنکشن کا ایک بلاک ڈایاگرام دکھاتا ہے۔
    میں. .sof لوڈ کریں file آپ کے متعلقہ Intel FPGA ڈیوائس پر۔
    جے ایگزیکیوٹیبل اور لنکنگ فارمیٹ لوڈ کریں (.elf) file آپ کے Intel Stratix 10 یا
    Intel Agilex 7 ڈیوائس اگر آپ 25G اور 10G کے درمیان ڈیٹا کی شرح کو تبدیل کرنے کے لیے ڈائنامک ری کنفیگریشن (DR) انجام دینے کا ارادہ رکھتے ہیں۔ ایگزیکیوٹیبل اینڈ لنکنگ فارمیٹ (.elf) پروگرامنگ جنریٹنگ اور ڈاؤن لوڈ کرنے کی ہدایات پر عمل کریں۔ File .elf بنانے کے لیے صفحہ 38 پر file.
    ک اپنے .sof کے ساتھ قطار میں، .sof کے لیے پروگرام/کنفیگر باکس کو چیک کریں۔ file.
    l اسٹارٹ پر کلک کریں۔

متعلقہ معلومات

  • بلاک پر مبنی ڈیزائن
  • انٹیل کوارٹس پرائم پروگرامر یوزر گائیڈ
  • سسٹم کنسول کے ساتھ ڈیزائن کا تجزیہ اور ڈیبگ کرنا
  • Intel Agilex 7 F-Series Transceiver-SoC ڈویلپمنٹ کٹ یوزر گائیڈ
  • Intel Stratix 10 GX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ یوزر گائیڈ
  • Intel Stratix 10 TX Transceiver Signal Integrity Development Kit User Guide
  • Intel Arria 10 GX ٹرانسیور سگنل انٹیگریٹی ڈیولپمنٹ کٹ یوزر گائیڈ

1.7۔ ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی ڈیزائن کی جانچ کر رہا ہے۔ample
آپ eCPRI Intel FPGA IP کور ڈیزائن کو مرتب کرنے کے بعدample اور اسے اپنے Intel FPGA ڈیوائس پر ترتیب دیں، آپ IP کور اور اس کے ایمبیڈڈ Native PHY IP کور رجسٹروں کو پروگرام کرنے کے لیے سسٹم کنسول کا استعمال کر سکتے ہیں۔
سسٹم کنسول کو آن کرنے اور ہارڈ ویئر ڈیزائن کی جانچ کرنے کے لیے exampلی، ان اقدامات پر عمل کریں:

  1. ہارڈ ویئر ڈیزائن کے بعد سابقample کو انٹیل ڈیوائس پر کنفیگر کیا گیا ہے، Intel Quartus Prime Pro Edition سافٹ ویئر میں، Tools مینو پر، System Debugging Tools ➤ System Console پر کلک کریں۔
  2. Tcl کنسول پین میں، ڈائرکٹری کو <design_ex میں تبدیل کریں۔ample_dir>/ synthesis/quartus/hardware_test اور J سے کنکشن کھولنے کے لیے درج ذیل کمانڈ ٹائپ کریںTAG ماسٹر اور ٹیسٹ شروع کریں:
    • Intel Agilex 7 ڈیزائنز کے لیے ماخذ ecpri_agilex.tcl
    • Intel Stratix 10 ڈیزائنز کے لیے source ecpri_s10.tcl
    • Intel Arria 10 ڈیزائنز کے لیے ماخذ ecpri_a10.tcl
  3. آپ کے Intel Stratix 10 یا Intel Agilex 7 E-ٹائل ڈیوائس کی مختلف حالتوں کے لیے، آپ کو .sof پروگرام کرنے کے بعد ایک بار اندرونی یا بیرونی لوپ بیک کمانڈ کو انجام دینا چاہیے۔ file:
    a flow.c میں TEST_MODE متغیر میں ترمیم کریں۔ file لوپ بیک موڈ کو منتخب کرنے کے لیے:
    TEST_MODE ایکشن
    0 سیریل لوپ بیک صرف تخروپن کے لیے فعال کرتا ہے۔
    1 سیریل لوپ بیک صرف ہارڈ ویئر کے لیے فعال کریں۔
    2 سیریل لوپ بیک اور انشانکن
    3 صرف انشانکن

    جب بھی آپ flow.c کو تبدیل کرتے ہیں تو آپ کو NIOS II سافٹ ویئر کو دوبارہ مرتب اور دوبارہ تخلیق کرنا ہوگا۔ file.
    ب .elf کو دوبارہ تخلیق کریں۔ file اور بورڈ کو ایک بار اور پروگرام کریں اور .sof کو دوبارہ پروگرام کریں۔ file.

  4. سسٹم کنسول اسکرپٹ میں تعاون یافتہ کمانڈز کے ذریعے ڈیزائن آپریشن کی جانچ کریں۔ سسٹم کنسول اسکرپٹ اعداد و شمار اور خصوصیات کو پڑھنے کے لیے مفید کمانڈ فراہم کرتا ہے جو ڈیزائن میں قابل عمل ہیں۔

ٹیبل 4. سسٹم کنسول اسکرپٹ کمانڈز

حکم تفصیل
loop_on TX سے RX اندرونی سیریل لوپ بیک کو فعال کرتا ہے۔ صرف Intel Stratix 10 H-tile اور Intel Arria 10 آلات کے لیے استعمال کریں۔
loop_off TX تا RX اندرونی سیریل لوپ بیک کو غیر فعال کرتا ہے۔ صرف Intel Stratix 10 H-tile اور Intel Arria 10 آلات کے لیے استعمال کریں۔
لنک _ init _ int _1pbk ٹرانسیور کے اندر TX سے RX اندرونی سیریل لوپ بیک کو فعال کرتا ہے اور ٹرانسیور کیلیبریشن فلو کو انجام دیتا ہے۔ صرف Intel Stratix 10 E-tile اور Intel Agilex 7 E-ٹائل ڈیزائنز پر لاگو ہوتا ہے۔
لنک _ init _ ext _1pbk TX سے RX بیرونی لوپ بیک کو فعال کرتا ہے اور ٹرانسیور کیلیبریشن فلو کو انجام دیتا ہے۔ صرف Intel Stratix 10 E-tile اور Intel Agilex 7 E-ٹائل ڈیزائنز پر لاگو ہوتا ہے۔
ٹریفک جین غیر فعال ٹریفک جنریٹر اور چیکر کو غیر فعال کرتا ہے۔
chkmac کے اعدادوشمار ایتھرنیٹ میک کے اعدادوشمار دکھاتا ہے۔
پڑھیں_ٹیسٹ_اعدادوشمار ٹریفک جنریٹر اور چیکرس کے لیے خرابی کے اعدادوشمار دکھائیں۔
ext _ مسلسل _ موڈ _en پورے ڈیزائن سسٹم کو ری سیٹ کرتا ہے، اور ٹریفک جنریٹر کو مسلسل ٹریفک پیکٹ بنانے کے قابل بناتا ہے۔
dr _ 25g _ سے _ log _etile ایتھرنیٹ MAC کے ڈیٹا کی شرح کو 25G سے 10G میں تبدیل کرتا ہے۔ صرف Intel Stratix 10 E-tile اور Intel Agilex 7 E-tile آلات کے لیے استعمال کریں۔
dr_25g_to_10g_htile ایتھرنیٹ MAC کے ڈیٹا کی شرح کو 25G سے 10G میں تبدیل کرتا ہے۔ صرف H-ٹائل آلات کے لیے استعمال کریں۔
dr_10g_to_25g_etile ایتھرنیٹ MAC کے ڈیٹا کی شرح کو 10G سے 25G میں تبدیل کرتا ہے۔ صرف Intel Stratix 10 E-tile اور Intel Agilex 7 E-tile آلات کے لیے استعمال کریں۔
dr _ 25g _ سے _ lOg _htile ایتھرنیٹ MAC کے ڈیٹا کی شرح کو 10G سے 25G میں تبدیل کرتا ہے۔ صرف H-ٹائل آلات کے لیے استعمال کریں۔

مندرجہ ذیل ایسample آؤٹ پٹ ایک کامیاب ٹیسٹ رن کی وضاحت کرتا ہے:
سسٹم کنسول پرنٹ آؤٹ (چینلز کی تعداد = 1)
چینل 0 EXT PTP TX SOP شمار: 256
چینل 0 EXT PTP TX EOP شمار: 256
چینل 0 EXT MISC TX SOP شمار: 36328972
چینل 0 EXT MISC TX EOP شمار: 36369511
چینل 0 EXT RX SOP کاؤنٹ: 36410364
چینل 0 EXT RX EOP شمار: 36449971
چینل 0 EXT چیکر کی خرابیاں: 0
چینل 0 EXT چیکر کی خرابی کا شمار: 0
چینل 0 EXT PTP فنگر پرنٹ کی خرابیاں: 0
چینل 0 EXT PTP فنگر پرنٹ کی خرابی کا شمار: 0
چینل 0 TX SOP کاؤنٹ: 1337760
چینل 0 TX EOP کاؤنٹ: 1339229
چینل 0 RX SOP کاؤنٹ: 1340728
چینل 0 RX EOP شمار: 1342555
چینل 0 چیکر کی خرابیاں: 0
چینل 0 چیکر کی خرابی کا شمار: 0

=============================================== ============================
=============
چینل 0 (Rx) کے لیے ایتھرنیٹ میک کے اعدادوشمار

=============================================== ============================
=============
بکھرے ہوئے فریم: 0
جابرڈ فریم: 0
ایف سی ایس ایرر فریمز کے ساتھ دائیں سائز: 0
ملٹی کاسٹ ڈیٹا ایرر فریمز: 0
براڈکاسٹ ڈیٹا ایرر فریم: 0
یونی کاسٹ ڈیٹا ایرر فریمز: 0
64 بائٹ فریم: 3641342
65 - 127 بائٹ فریم: 0
128 - 255 بائٹ فریم: 37404809
256 - 511 بائٹ فریم: 29128650
512 - 1023 بائٹ فریم: 0
1024 - 1518 بائٹ فریم: 0
1519 - MAX بائٹ فریم: 0
> MAX بائٹ فریم: 0
ملٹی کاسٹ ڈیٹا اوکے فریم: 70174801
براڈکاسٹ ڈیٹا اوکے فریم: 0
یونی کاسٹ ڈیٹا اوکے فریم: 0
ملٹی کاسٹ کنٹرول فریم: 0
براڈکاسٹ کنٹرول فریم: 0
یونی کاسٹ کنٹرول فریم: 0
موقوف کنٹرول فریم: 0
پے لوڈ آکٹیٹس اوکے: 11505935812
فریم آکٹٹس اوکے : 12918701444
Rx فریم کی زیادہ سے زیادہ لمبائی: 1518
FCS ایرر فریم کے ساتھ کوئی بھی سائز: 0
ملٹی کاسٹ کنٹرول ایرر فریم: 0
براڈکاسٹ کنٹرول ایرر فریم: 0
یونی کاسٹ کنٹرول ایرر فریمز: 0
روکو کنٹرول ایرر فریمز: 0
Rx فریم شروع ہوتا ہے: 70174801

مندرجہ ذیل ایس ہےampلی آؤٹ پٹ 25G سے 10G DR ٹیسٹ رن کے لیے:
سسٹم کنسول پرنٹ آؤٹ (25G سے 10G DR ای ٹائل)

ایتھرنیٹ 25G -> 10G کے لیے ڈائنامک ری کنفیگریشن شروع کریں۔
DR کامیاب 25G -> 10G
RX PHY رجسٹر تک رسائی: گھڑی کی فریکوئنسی چیک کرنا (KHz)
TXCLK :16114 (KHZ)
RXCLK :16113 (KHZ)
RX PHY سٹیٹس پولنگ
Rx فریکوئنسی لاک اسٹیٹس 0x0000000f
میک کلاک ٹھیک حالت میں ہے؟ 0x00000001
Rx فریم کی خرابی؟ 0x00000000
Rx PHY مکمل طور پر منسلک؟ 0x00000001
پولنگ RX PHY چینل 0
RX PHY چینل 0 تیار اور چل رہا ہے!

سسٹم کنسول پرنٹ آؤٹ (25G سے 10G DR H-ٹائل)
ایتھرنیٹ 25G -> 10G کے لیے ڈائنامک ری کنفیگریشن شروع کریں۔
DR کامیاب 25G -> 10G
RX PHY رجسٹر تک رسائی: گھڑی کی فریکوئنسی چیک کرنا (KHz)
TXCLK :15625 (KHZ)
RXCLK :15625 (KHZ)
RX PHY سٹیٹس پولنگ
Rx فریکوئنسی لاک اسٹیٹس 0x00000001
میک کلاک ٹھیک حالت میں ہے؟ 0x00000007
Rx فریم کی خرابی؟ 0x00000000
Rx PHY مکمل طور پر منسلک؟ 0x00000001
پولنگ RX PHY چینل 0
RX PHY چینل 0 تیار اور چل رہا ہے!

سسٹم کنسول پرنٹ آؤٹ (10G سے 25G DR ای ٹائل)
ایتھرنیٹ 10G -> 25G کے لیے ڈائنامک ری کنفیگریشن شروع کریں۔
DR کامیاب 10G -> 25G
RX PHY رجسٹر تک رسائی: گھڑی کی فریکوئنسی چیک کرنا (KHz)
TXCLK :40283 (KHZ)
RXCLK :40283 (KHZ)
RX PHY سٹیٹس پولنگ
Rx فریکوئنسی لاک اسٹیٹس 0x0000000f
میک کلاک ٹھیک حالت میں ہے؟ 0x00000001
Rx فریم کی خرابی؟ 0x00000000
Rx PHY مکمل طور پر منسلک؟ 0x00000001
پولنگ RX PHY چینل 0
RX PHY چینل 0 تیار اور چل رہا ہے!

سسٹم کنسول پرنٹ آؤٹ (10G سے 25G DR H-ٹائل)
ایتھرنیٹ 10G -> 25G کے لیے ڈائنامک ری کنفیگریشن شروع کریں۔
DR کامیاب 10G -> 25G
RX PHY رجسٹر تک رسائی: گھڑی کی فریکوئنسی چیک کرنا (KHz)
TXCLK :39061 (KHZ)
RXCLK :39063 (KHZ)
RX PHY سٹیٹس پولنگ
Rx فریکوئنسی لاک اسٹیٹس 0x00000001
میک کلاک ٹھیک حالت میں ہے؟ 0x00000007
Rx فریم کی خرابی؟ 0x00000000
Rx PHY مکمل طور پر منسلک؟ 0x00000001
پولنگ RX PHY چینل 0
RX PHY چینل 0 تیار اور چل رہا ہے!

ڈیزائن سابقampلی تفصیل

ڈیزائن سابقample eCPRI IP کور کی بنیادی فعالیت کو ظاہر کرتا ہے۔ آپ Ex سے ڈیزائن تیار کر سکتے ہیں۔ampeCPRI IP پیرامیٹر ایڈیٹر میں ڈیزائن ٹیب۔

2.1 خصوصیات

  • اندرونی TX اور RX سیریل لوپ بیک موڈ
  • خودکار طور پر مقررہ سائز کے پیکٹ تیار کرتا ہے۔
  • بنیادی پیکٹ چیک کرنے کی صلاحیتیں۔
  • ڈیزائن کو جانچنے کے لیے سسٹم کنسول استعمال کرنے کی اہلیت اور دوبارہ جانچ کے مقصد کے لیے ڈیزائن کو ری سیٹ کرنا

2.2 ہارڈ ویئر ڈیزائن سابقample
شکل 5. انٹیل ایجیلیکس 7 ایف ٹائل ڈیزائن کے لیے بلاک ڈایاگرامeCPRI Intel FPGA IP ڈیزائن - شکل 5

انٹیل کارپوریشن۔ جملہ حقوق محفوظ ہیں. Intel، Intel لوگو، اور Intel کے دیگر نشانات Intel Corporation یا اس کے ذیلی اداروں کے ٹریڈ مارک ہیں۔ Intel اپنی FPGA اور سیمی کنڈکٹر مصنوعات کی کارکردگی کو Intel کی معیاری وارنٹی کے مطابق موجودہ تصریحات کی ضمانت دیتا ہے، لیکن بغیر اطلاع کے کسی بھی وقت کسی بھی مصنوعات اور خدمات میں تبدیلیاں کرنے کا حق محفوظ رکھتا ہے۔ Intel یہاں بیان کردہ کسی بھی معلومات، پروڈکٹ، یا سروس کے اطلاق یا استعمال سے پیدا ہونے والی کوئی ذمہ داری یا ذمہ داری قبول نہیں کرتا ہے سوائے اس کے کہ Intel کی طرف سے تحریری طور پر واضح طور پر اتفاق کیا گیا ہو۔ انٹیل کے صارفین کو مشورہ دیا جاتا ہے کہ وہ کسی بھی شائع شدہ معلومات پر بھروسہ کرنے سے پہلے اور مصنوعات یا خدمات کے آرڈر دینے سے پہلے ڈیوائس کی تفصیلات کا تازہ ترین ورژن حاصل کریں۔ *دیگر ناموں اور برانڈز پر دوسروں کی ملکیت کے طور پر دعویٰ کیا جا سکتا ہے۔

شکل 6. انٹیل ایجیلیکس 7 ای ٹائل ڈیزائن کے لیے بلاک ڈایاگرامeCPRI Intel FPGA IP ڈیزائن - شکل 6شکل 7. انٹیل اسٹریٹکس 10 ڈیزائن کے لیے بلاک ڈایاگرامeCPRI Intel FPGA IP ڈیزائن - شکل 7

شکل 8. انٹیل ارریا 10 ڈیزائن کے لیے بلاک ڈایاگرامeCPRI Intel FPGA IP ڈیزائن - شکل 8eCPRI Intel FPGA IP کور ہارڈ ویئر ڈیزائن سابقample میں مندرجہ ذیل اجزاء شامل ہیں:
ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی
ٹیسٹ ریپر کے اندر فوری طور پر ٹریفک جنریٹرز سے ڈیٹا کو قبول کرتا ہے اور ایتھرنیٹ IP پر منتقل کرنے کے لیے ڈیٹا کو ترجیح دیتا ہے۔

ایتھرنیٹ آئی پی

  • ایف ٹائل ایتھرنیٹ انٹیل ایف پی جی اے ہارڈ آئی پی (انٹیل ایجیلیکس 7 ایف ٹائل ڈیزائن)
  • ایتھرنیٹ کے لیے ای ٹائل ہارڈ آئی پی (انٹیل اسٹریٹکس 10 یا انٹیل ایجیلیکس 7 ای ٹائل ڈیزائن)
  • 25G ایتھرنیٹ انٹیل اسٹریٹکس 10 آئی پی (انٹیل اسٹریٹکس 10 ایچ ٹائل ڈیزائن)
  • کم لیٹنسی ایتھرنیٹ 10G MAC IP اور 1G/10GbE اور 10GBASE-KR PHY IP (Intel Arria 10 ڈیزائن)

پریسجن ٹائم پروٹوکول (PTP) IO PLL
Intel Stratix 10 H-ٹائل ڈیزائنز کے لیے — ایتھرنیٹ IP اور s کے لیے لیٹینسی پیمائش ان پٹ حوالہ گھڑی پیدا کرنے کے لیے فوریampٹائم آف ڈے (TOD) سب سسٹم کے لیے ling کلاک۔ IEEE 25v10 خصوصیت کے ساتھ 1588G Ethernet Intel Stratix 2 FPGA IP کے لیے، Intel آپ کو اس گھڑی کی فریکوئنسی 156.25 MHz پر سیٹ کرنے کی تجویز کرتا ہے۔ مزید معلومات کے لیے 25G Ethernet Intel Stratix 10 FPGA IP صارف گائیڈ اور Intel Stratix 10 H-tile Transceiver PHY صارف گائیڈ سے رجوع کریں۔ پی ٹی پی آئی او پی ایل ایل ای سی پی آر آئی آئی او پی ایل ایل کے لیے کاسکیڈنگ انداز میں حوالہ گھڑی بھی تیار کرتا ہے۔
Intel Arria 10 ڈیزائنز کے لیے—کم لیٹنسی ایتھرنیٹ 312.5G MAC IP اور 156.25G/10GbE، 1GBASE-KR PHY IP، اور eCPRI IP کے لیے 10 MHz اور 10 MHz  کلاک ان پٹ تیار کرنے کے لیے فوری طور پر تیار کیا گیا ہے۔

eCPRI IO PLL
eCPRI IP کے TX اور RX پاتھ، اور ٹریفک اجزاء کے لیے 390.625 MHz کا کور کلاک آؤٹ پٹ تیار کرتا ہے۔
نوٹ: یہ بلاک صرف ڈیزائن سابق میں موجود ہے۔ample Intel Stratix 10 اور Intel Agilex 7 آلات کے لیے تیار کیا گیا ہے۔

نوٹ: eCPRI Intel FPGA IP کا موجودہ ورژن صرف IWF قسم 0 کو سپورٹ کرتا ہے۔ Intel Agilex 7 F-tile آلات کے لیے، ڈیزائن سابقampلی آئی ڈبلیو ایف خصوصیت کے ساتھ فعال تعاون یافتہ نہیں ہے۔
جب آپ ڈیزائن سابقہ ​​تیار کرتے ہیں۔ampانٹر ورکنگ فنکشن (IWF) سپورٹ پیرامیٹر کے ساتھ، پیکٹ ٹریفک ٹیسٹ ریپر ماڈیول سے براہ راست Avalon-ST سورس/سنک انٹرفیس اور eCPRI IP کے بیرونی سورس/سنک انٹرفیس کی طرف جاتا ہے۔
جب آپ ڈیزائن سابقہ ​​تیار کرتے ہیں۔ample کے ساتھ انٹر ورکنگ فنکشن (IWF) سپورٹ پیرامیٹر آن ہوا، پیکٹ ٹریفک پہلے ٹیسٹ ریپر ماڈیول سے IWF Avalon-ST سنک انٹرفیس کی طرف جاتا ہے، اور IWF Avalon-ST سورس انٹرفیس سے eCPRI Avalon-ST سورس/sink پر آتا ہے۔ انٹرفیس
سی پی آر آئی میک
صارف کے جہاز، C&M، اور REC اور RE کے ساتھ ساتھ دو RE کے درمیان ہم آہنگی کی معلومات کی منتقلی کے لیے پرت 1 کا CPRI حصہ اور مکمل پرت 2 پروٹوکول فراہم کرتا ہے،
سی پی آر آئی پی ایچ وائی
لائن کوڈنگ، بٹ ایرر تصحیح/ڈیٹیکشن وغیرہ کے لیے CPRI لیئر 1 پروٹوکول کا بقیہ حصہ فراہم کرتا ہے۔

نوٹ: اس ڈیزائن میں سی پی آر آئی میک اور سی پی آر آئی پی ایچ وائی آئی پی کو فوری بنایا گیا ہے۔ample کو صرف سنگل CPRI لائن ریٹ 9.8 Gbps پر چلانے کے لیے ترتیب دیا گیا ہے۔ ڈیزائن سابقample موجودہ ریلیز میں لائن ریٹ آٹو گفت و شنید کی حمایت نہیں کرتا ہے۔

ٹیسٹ ریپر
ٹریفک جنریٹرز اور چیکرز پر مشتمل ہوتا ہے جو ذیل میں ای سی پی آر آئی آئی پی کے Avalon سٹریمنگ (Avalon-ST) انٹرفیس میں ڈیٹا پیکٹ کے مختلف سیٹ تیار کرتا ہے:

  • ای سی پی آر آئی پیکٹ کو Avalon-ST سورس/سنک انٹرفیس (IWF فیچر غیر فعال):
    - صرف پیغام کی قسم 2 کو سپورٹ کرتا ہے۔
    — بیک ٹو بیک موڈ جنریشن کے ساتھ انکریمنٹل پیٹرن موڈ جنریشن اور ہر پیکٹ کے لیے 72 بائٹس کا پے لوڈ سائز۔
    - غیر مسلسل یا مسلسل موڈ میں چلانے کے لیے CSR کے ذریعے قابل ترتیب۔
    — TX/RX پیکٹ کے اعدادوشمار کی حیثیت CSR کے ذریعے رسائی کے لیے دستیاب ہے۔
  • ای سی پی آر آئی پیکٹ کو Avalon-ST سورس/سنک انٹرفیس (IWF فیچر فعال):
    - موجودہ ریلیز میں صرف پیغام کی قسم 0 کو سپورٹ کرتا ہے۔
    - انٹر پیکٹ گیپ جنریشن کے ساتھ انکریمنٹل پیٹرن موڈ جنریشن اور ہر پیکٹ کے لیے 240 بائٹس کے پے لوڈ سائز۔
    - غیر مسلسل یا مسلسل موڈ میں چلانے کے لیے CSR کے ذریعے قابل ترتیب۔
    — TX/RX پیکٹ کے اعدادوشمار کی حیثیت CSR کے ذریعے رسائی کے لیے دستیاب ہے۔
  • پریسجن ٹائم پروٹوکول (1588 پی ٹی پی) پیکٹ اور غیر پی ٹی پی متفرق پیکٹ بیرونی سورس/سنک انٹرفیس کے لیے:
    - پہلے سے طے شدہ پیرامیٹرز کے ساتھ جامد ایتھرنیٹ ہیڈر جنریشن: Ethertype0x88F7، پیغام کی قسم- Opcode 0 (Sync)، اور PTP ورژن-0۔
    - 2 سائیکلوں کے انٹر پیکٹ گیپ کے ساتھ پہلے سے طے شدہ پیٹرن موڈ جنریشن اور ہر پیکٹ کے لیے 57 بائٹس کے پے لوڈ سائز۔
    - ہر ایک سیکنڈ میں 128 پیکٹ تیار ہوتے ہیں۔
    - غیر مسلسل یا مسلسل موڈ میں چلانے کے لیے CSR کے ذریعے قابل ترتیب۔
    — TX/RX پیکٹ کے اعدادوشمار کی حیثیت CSR کے ذریعے رسائی کے لیے دستیاب ہے۔
  • بیرونی نان پی ٹی پی متفرق پیکٹ:
    - پہلے سے طے شدہ پیرامیٹر کے ساتھ جامد ایتھرنیٹ ہیڈر جنریشن، Ethertype- 0x8100 (غیر PTP)۔
    PRBS پیٹرن موڈ جنریشن جس میں 2 سائیکلوں کے انٹر پیکٹ گیپ اور ہر پیکٹ کے لیے 128 بائٹس کا پے لوڈ سائز۔
    - غیر مسلسل یا مسلسل موڈ میں چلانے کے لیے CSR کے ذریعے قابل ترتیب۔
    — TX/RX پیکٹ کے اعدادوشمار کی حیثیت CSR کے ذریعے رسائی کے لیے دستیاب ہے۔

دن کا وقت (TOD) سب سسٹم
TX اور RX دونوں کے لیے دو IEEE 1588 TOD ماڈیول، اور ایک IEEE 1588 TOD Synchronizer ماڈیول جو Intel Quartus Prime سافٹ ویئر کے ذریعے تیار کیا گیا ہے۔
Nios® II سب سسٹم
Avalon-MM برج پر مشتمل ہے جو Nios II پروسیسر، ٹیسٹ ریپر، اور Avalon® -MM ایڈریس ڈیکوڈر بلاکس کے درمیان Avalon-MM ڈیٹا ثالثی کی اجازت دیتا ہے۔
Nios II ٹیسٹ ریپر کی شرح_سوئچ رجسٹر ویلیو سے آؤٹ پٹ کی بنیاد پر ڈیٹا ریٹ سوئچنگ کرنے کا ذمہ دار ہے۔ ٹیسٹ ریپر سے کمانڈ ملنے کے بعد یہ بلاک ضروری رجسٹر کو پروگرام کرتا ہے۔

نوٹ: یہ بلاک ڈیزائن سابق میں موجود نہیں ہے۔ample Intel Arria 10 اور Intel Agilex 7 F-tile آلات کے لیے تیار کیا گیا ہے۔
سسٹم کنسول
آپ کو پہلے درجے کی ڈیبگنگ کرنے اور آئی پی کی حیثیت، اور ٹریفک جنریٹرز اور چیکرس کی نگرانی کرنے کے لیے ایک صارف دوست انٹرفیس فراہم کرتا ہے۔
ڈیمو کنٹرول
یہ ماڈیول ری سیٹ سنکرونائزر ماڈیولز، اور ان سسٹم سورس اینڈ پروب (ISSP) ماڈیولز پر مشتمل ہے جو ڈیزائن سسٹم کی ڈیبگنگ اور شروعاتی عمل کے لیے ہے۔

متعلقہ معلومات

  • 25G Ethernet Intel Stratix 10 FPGA IP صارف گائیڈ
  • ای ٹائل ہارڈ آئی پی یوزر گائیڈ
  • eCPRI Intel FPGA IP صارف گائیڈ
  • 25G Ethernet Intel Stratix 10 FPGA IP ڈیزائن Exampلی یوزر گائیڈ
  • Intel Stratix 10 Design Ex کے لیے ای ٹائل ہارڈ آئی پیamples صارف گائیڈ
  • Intel Stratix 10 L- اور H-Tile Transceiver PHY صارف گائیڈ
  • ای ٹائل ٹرانسیور پی ایچ وائی یوزر گائیڈ
  • Intel Stratix 10 10GBASE-KR PHY IP صارف گائیڈ
  • ای ٹائل ہارڈ آئی پی Intel Agilex ڈیزائن Exampلی یوزر گائیڈ

2.3 تخروپن ڈیزائن Example
eCPRI ڈیزائن سابقample ایک نقلی ٹیسٹ بینچ اور تخروپن تیار کرتا ہے۔ files جو eCPRI Intel FPGA IP کور کو انسٹینٹیٹ کرتا ہے جب آپ سمولیشن یا سنتھیسس اینڈ سمولیشن آپشن کو منتخب کرتے ہیں۔

شکل 9. eCPRI Intel FPGA IP سمولیشن بلاک ڈایاگرامeCPRI Intel FPGA IP ڈیزائن - شکل 9

نوٹ: Nios II سب سسٹم بلاک ڈیزائن سابق میں موجود نہیں ہے۔ample Intel Arria 10 اور Intel Agilex 7 F-tile آلات کے لیے تیار کیا گیا ہے۔
اس ڈیزائن میں سابقampلی، سمولیشن ٹیسٹ بینچ بنیادی فعالیت فراہم کرتا ہے جیسے کہ سٹارٹ اپ اور پیکٹ کے لاک، ٹرانسمٹ اور وصول کا انتظار۔

کامیاب ٹیسٹ رن درج ذیل رویے کی تصدیق کرنے والی آؤٹ پٹ دکھاتا ہے:

  1. کلائنٹ کی منطق IP کور کو دوبارہ ترتیب دیتی ہے۔
  2. کلائنٹ کی منطق RX ڈیٹا پاتھ الائنمنٹ کا انتظار کرتی ہے۔
  3. کلائنٹ منطق Avalon-ST انٹرفیس پر پیکٹوں کو منتقل کرتا ہے۔
  4. وصول کریں اور پیکٹوں کے مواد اور درستگی کی جانچ کریں۔
  5. "Test PASSED" پیغام ڈسپلے کریں۔

2.4۔ انٹرفیس سگنلز
جدول 5. ڈیزائن Exampلی انٹرفیس سگنلز

سگنل سمت تفصیل
clk_ref ان پٹ ایتھرنیٹ میک کے لیے حوالہ گھڑی۔
• Intel Stratix 10 E-tile، Intel Agilex 7 E-ٹائل اور F-ٹائل ڈیزائنز کے لیے، E-tile Ethernet Hard IP کور یا F-tile Ethernet Hard IP کور کے لیے 156.25 MHz کلاک ان پٹ۔ ایتھرنیٹ ہارڈ آئی پی میں i_clk_ref[0] سے جڑیں۔
• Intel Stratix 10 H-ٹائل ڈیزائنز کے لیے، Transceiver ATX PLL اور 322.2625G ایتھرنیٹ IP کے لیے 25 MHz کلاک ان پٹ۔ ٹرانسیور ATX PLL میں pll_refclk0[0] اور 0G ایتھرنیٹ IP میں clk_ref[25] سے جڑیں۔
• Intel Arria 10 ڈیزائنز کے لیے، ٹرانسیور ATX PLL اور 322.265625G/ 1GbE اور 10GBase-KR PHY IP کے لیے 10 MHz کلاک ان پٹ۔ ٹرانسیور ATX PLL میں pll_refclk0[0] اور 10G/0GbE اور 1G BASE-KR PHY IP میں rx_cdr_ref_clk_10g[10] سے جڑیں۔
tod_sync_sampling_clk ان پٹ Intel Arria 10 ڈیزائنز کے لیے، TOD سب سسٹم کے لیے 250 MHz کلاک ان پٹ۔
clk100 ان پٹ انتظامی گھڑی۔ یہ گھڑی PTP کے لیے latency_clk پیدا کرنے کے لیے استعمال ہوتی ہے۔ 100 میگاہرٹز پر ڈرائیو کریں۔
mgmt_reset_n ان پٹ Nios II سسٹم کے لیے سگنل ری سیٹ کریں۔
tx_serial آؤٹ پٹ TX سیریل ڈیٹا۔ 4 چینلز تک سپورٹ کرتا ہے۔
rx_serial ان پٹ RX سیریل ڈیٹا۔ 4 چینلز تک سپورٹ کرتا ہے۔
iwf_cpri_ehip_ref_clk ان پٹ ای ٹائل CPRI PHY حوالہ گھڑی ان پٹ۔ یہ گھڑی صرف Intel Stratix 10 E-tile اور Intel میں موجود ہے۔
Agilex 7 ای ٹائل ڈیزائن۔ 153.6 Gbps CPRI لائن ریٹ کے لیے 9.8 MHz پر ڈرائیو کریں۔
iwf_cpri_pll_refclk0 آؤٹ پٹ CPRI TX PLL حوالہ گھڑی۔
• Intel Stratix 10 H-ٹائل ڈیزائن کے لیے: CPRI ڈیٹا ریٹ 307.2 Gbps کے لیے 9.8 MHz پر ڈرائیو کریں۔
• Intel Stratix 10 E-tile اور Intel Agilex 7 E-ٹائل ڈیزائن کے لیے: CPRI ڈیٹا ریٹ 156.25 Gbps کے لیے 9.8 MHz پر ڈرائیو کریں۔
iwf_cpri_xcvr_cdr_refclk آؤٹ پٹ CPRI وصول کنندہ CDR حوالہ گھڑی۔ یہ گھڑی صرف Intel Stratix 10 H-tile ڈیزائن میں موجود ہے۔
307.2 Gbps CPRI لائن ریٹ کے لیے 9.8 MHz پر ڈرائیو کریں۔
iwf_cpri_xcvr_txdataout آؤٹ پٹ سی پی آر آئی سیریل ڈیٹا منتقل کرتا ہے۔ 4 چینلز تک سپورٹ کرتا ہے۔
iwf_cpri_xcvr_rxdatain آؤٹ پٹ CPRI وصول کنندہ سیریل ڈیٹا۔ 4 چینلز تک سپورٹ کرتا ہے۔
cpri_gmii_clk ان پٹ CPRI GMII 125 MHz ان پٹ کلاک۔

متعلقہ معلومات
PHY انٹرفیس سگنلز
25G Ethernet Intel FPGA IP کے PHY انٹرفیس سگنلز کی فہرست۔

2.5. ڈیزائن سابقample رجسٹر کا نقشہ
ذیل میں eCPRI IP کور ڈیزائن سابق کے لیے رجسٹر میپنگ ہے۔ampلی:
جدول 6. eCPRI Intel FPGA IP ڈیزائن Example رجسٹر میپنگ

پتہ  رجسٹر کریں۔
0x20100000 – 0x201FFFFF(2) IOPLL ری کنفیگریشن رجسٹر۔
0x20200000 - 0x203FFFFF ایتھرنیٹ میک Avalon-MM رجسٹر
0x20400000 - 0x205FFFFF ایتھرنیٹ MAC مقامی PHY Avalon-MM رجسٹر
0x20600000 – 0x207FFFFF(2) مقامی PHY RS-FEC Avalon-MM رجسٹر۔
0x40000000 - 0x5FFFFFFF eCPRI IP Avalon-MM رجسٹر
0x80000000 - 0x9FFFFFFF ایتھرنیٹ ڈیزائن ٹیسٹ جنریٹر/ تصدیق کنندہ Avalon-MM رجسٹر

ٹیبل 7۔ Nios II رجسٹر میپنگ
درج ذیل جدول میں موجود رجسٹر صرف ڈیزائن سابق میں دستیاب ہیں۔ample Intel Stratix 10 یا Intel Agilex 7 E-tile آلات کے لیے تیار کیا گیا ہے۔

پتہ  رجسٹر کریں۔
0x00100000 - 0x001FFFFF IOPLL ری کنفیگریشن رجسٹر
0x00200000 - 0x003FFFFF ایتھرنیٹ میک Avalon-MM رجسٹر
0x00400000 - 0x005FFFFF ایتھرنیٹ MAC مقامی PHY Avalon-MM رجسٹر
0x00600000 - 0x007FFFFF مقامی PHY RS-FEC Avalon-MM رجسٹر

نوٹ: آپ بائٹ آفسیٹ کے بجائے ورڈ آفسیٹ کا استعمال کرتے ہوئے Ethernet MAC اور Ethernet MAC Native PHY AVMM رجسٹروں تک رسائی حاصل کر سکتے ہیں۔
Ethernet MAC، Ethernet MAC Native PHY، اور eCPRI IP کور رجسٹر کے نقشوں کے بارے میں تفصیلی معلومات کے لیے، متعلقہ صارف گائیڈز سے رجوع کریں۔

(2)صرف ڈیزائن میں دستیاب ہے۔ample Intel Stratix 10 اور Intel Agilex 7 E-tile آلات کے لیے تیار کیا گیا ہے۔

جدول 8. eCPRI Intel FPGA IP ہارڈ ویئر ڈیزائن Example رجسٹر کا نقشہ

ورڈ آفسیٹ  رجسٹر کی قسم  ڈیفالٹ قدر  رسائی کی قسم
0x0 ڈیٹا بھیجنا شروع کریں:
• بٹ 1: PTP، غیر PTP قسم
• بٹ 0: eCPRI قسم
0x0 RW
0x1 مسلسل پیکٹ کو فعال کریں۔ 0x0 RW
0x2 صاف خرابی 0x0 RW
0x3 (3) شرح سوئچ:
• بٹ [7]- ٹائل کی نشاندہی کرتا ہے:
- 1'b0: H-ٹائل
- 1'b1: ای ٹائل
• بٹ [6:4]- ایتھرنیٹ ڈیٹا ریٹ سوئچنگ کی نشاندہی کرتا ہے:
- 3'b000: 25G سے 10G
- 3'b001: 10G سے 25G
• بٹ [0]- سوئچ کی شرح کو فعال کریں۔ اس بٹ 0 کو سیٹ کرنا اور ریٹ سوئچنگ کے لیے بٹ 0 واضح ہونے تک پول کرنا ضروری ہے۔
نوٹ: یہ رجسٹر Intel Agilex 7 F-tile اور Intel Arria 10 ڈیزائن کے لیے دستیاب نہیں ہے۔
• ای ٹائل: 0x80
H-ٹائل: 0x0
RW
0x4 (3) ریٹ سوئچ ہو گیا:
• بٹ [1] ریٹ سوئچنگ کی نشاندہی کرتا ہے۔
0x0 RO
0x5 (4) سسٹم کنفیگریشن سٹیٹس:
• بٹ [31]: سسٹم تیار ہے۔
• بٹ [30]: IWF_EN
• بٹ [29]: STARTUP_SEQ_EN
• بٹ [28:4]: محفوظ
• بٹ [3]: EXT_PACKET_EN
• بٹ [2:0]: محفوظ
0x0 RO
0x6 (4) سی پی آر آئی مذاکرات مکمل:
• بٹ [3:0]: بٹ ریٹ مکمل
• بٹ [19:16]: پروٹوکول مکمل
0x0 RW
0x7 (4) سی پی آر آئی مذاکرات مکمل:
• بٹ [3:0]: تیز C&M مکمل
• بٹ [19:16]: تیز VSS مکمل
0x0 RW
0x8 - 0x1F محفوظ
0x20 eCPRI خرابی کی مداخلت:
• بٹ [0] مداخلت کی نشاندہی کرتا ہے۔
0x0 RO
0x21 بیرونی پیکٹس کی خرابی۔ 0x0 RO
0x22 بیرونی پی ٹی پی پیکٹ TX پیکٹ کا آغاز (ایس او پی) شمار 0x0 RO
0x23 بیرونی پی ٹی پی پیکٹ TX اینڈ آف پیکٹ (EOP) شمار 0x0 RO
0x24 بیرونی متفرق پیکٹ TX SOP شمار 0x0 RO
0x25 بیرونی متفرق پیکٹ TX EOP شمار 0x0 RO
0x26 بیرونی RX پیکٹس کی SOP شمار 0x0 RO
0x27 بیرونی RX پیکٹ EOP شمار 0x0 RO
0x28 بیرونی پیکٹ کی خرابی کی گنتی 0x0 RO
0x29 - 0x2C محفوظ
0x2D بیرونی پی ٹی پی ٹائمسٹamp فنگر پرنٹ کی خرابی کا شمار 0x0 RO
0x2E بیرونی پی ٹی پی ٹائمسٹamp فنگر پرنٹ کی خرابی۔ 0x0 RO
0x2F بیرونی Rx خرابی کی حیثیت 0x0 RO
0x30 - 0x47 محفوظ
0x48 eCPRI پیکٹس کی خرابی۔ RO
0x49 eCPRI TX SOP شمار RO
0x4A eCPRI TX EOP شمار RO
0x4B eCPRI RX SOP شمار RO
0x4C۔ eCPRI RX EOP شمار RO
0x4D eCPRI پیکٹ کی خرابی کی گنتی RO

متعلقہ معلومات

  • کنٹرول، حیثیت، اور شماریات رجسٹر کی تفصیل
    25G Ethernet Stratix 10 FPGA IP کے لیے معلومات رجسٹر کریں۔
  • ری کنفیگریشن اور اسٹیٹس رجسٹر
    تفصیل ایتھرنیٹ کے لیے ای ٹائل ہارڈ آئی پی کے لیے معلومات رجسٹر کریں۔
  • رجسٹر کرتا ہے۔
    eCPRI Intel FPGA IP کے لیے معلومات رجسٹر کریں۔

eCPRI Intel FPGA IP ڈیزائن Exampلی یوزر گائیڈ آرکائیوز

اس صارف گائیڈ کے تازہ ترین اور پچھلے ورژنز کے لیے، eCPRI Intel FPGA IP Design Ex سے رجوع کریں۔ampلی یوزر گائیڈ ایچ ٹی ایم ایل ورژن۔ ورژن منتخب کریں اور ڈاؤن لوڈ پر کلک کریں۔ اگر IP یا سافٹ ویئر ورژن درج نہیں ہے تو، پچھلے IP یا سافٹ ویئر ورژن کے لیے صارف گائیڈ لاگو ہوتا ہے۔

eCPRI Intel FPGA IP Design Ex کے لیے دستاویز پر نظرثانی کی تاریخampلی یوزر گائیڈ

دستاویز کا ورژن انٹیل کوارٹس
پرائم ورژن
IP ورژن تبدیلیاں
2023.05.19 23.1 2.0.3 • ڈیزائن سابق کی نقل کو اپ ڈیٹ کیا۔ampکوئیک اسٹارٹ گائیڈ باب میں ٹیسٹ بینچ سیکشن۔
• پروڈکٹ فیملی کا نام "Intel Agilex 7" میں اپ ڈیٹ کر دیا۔
2022.11.15 22.3 2.0.1 سیکشن میں VCS سمیلیٹر کے لیے اپ ڈیٹ کردہ ہدایات: ڈیزائن کی نقل کرنا Exampلی ٹیسٹ بینچ۔
2022.07.01 22.1 1.4.1 • ہارڈ ویئر ڈیزائن سابق شامل کیاampIntel Agilex 7 F-ٹائل ڈیوائس کی مختلف حالتوں کے لیے سپورٹ۔
• درج ذیل ڈیولپمنٹ کٹس کے لیے معاونت شامل کی گئی:
— Intel Agilex 7 I-Series FPGA ڈویلپمنٹ کٹ
— Intel Agilex 7 I-Series Transceiver-SoC ڈویلپمنٹ کٹ
• QuestaSim سمیلیٹر کے لیے شامل کردہ تعاون۔
• ModelSim* SE سمیلیٹر کے لیے سپورٹ کو ہٹا دیا گیا۔
2021.10.01 21.2 1.3.1 • Intel Agilex 7 F-ٹائل ڈیوائسز کے لیے اضافی تعاون۔
ملٹی چینل ڈیزائنز کے لیے معاونت شامل کی گئی۔
• تازہ کاری شدہ جدول: eCPRI Intel FPGA IP ہارڈ ویئر ڈیزائن Example رجسٹر کا نقشہ.
• NCSim سمیلیٹر کے لیے سپورٹ کو ہٹا دیا گیا۔
2021.02.26 20.4 1.3.0 • Intel Agilex 7 E-tile آلات کے لیے شامل کردہ تعاون۔
2021.01.08 20.3 1.2.0 • eCPRI Intel Stratix 10 FPGA IP Design Ex سے دستاویز کا عنوان تبدیل کر دیا گیا۔ampلی یوزر گائیڈ
eCPRI Intel FPGA IP ڈیزائن Exampلی یوزر گائیڈ۔
• Intel Arria 10 ڈیزائنز کے لیے شامل کردہ تعاون۔
• eCPRI IP ڈیزائن سابقample اب انٹر ورکنگ فنکشن (IWF) فیچر سپورٹ کے ساتھ دستیاب ہے۔
• واضح کرنے کے لیے ایک نوٹ شامل کیا گیا کہ eCPRI ڈیزائن سابقample IWF خصوصیت کے ساتھ صرف 9.8 Gbps CPRI کے لیے دستیاب ہے۔
لائن بٹ کی شرح.
• ڈیزائن تیار کرتے وقت ڈیزائن تیار کرنے والے سیکشن میں شرائط شامل کی گئیں۔ampکے ساتھ لی
انٹر ورکنگ فنکشن (IWF) سپورٹ پیرامیٹر فعال ہے۔
• شامل کر دیا گیاampلی سمولیشن ٹیسٹ رن آؤٹ پٹ IWF فیچر کے ساتھ سیکشن سمولیٹنگ دی ڈیزائن میں فعال ہے۔
Exampلی ٹیسٹ بینچ۔
• ایتھرنیٹ آئی پی میں ڈائنامک ری کنفیگریشن کو فعال کرنے والا نیا سیکشن شامل کیا گیا۔
• اپ ڈیٹ کردہ ہارڈویئر ٹیسٹ sampسیکشن میں لی آؤٹ پٹ
ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی ڈیزائن کی جانچ کر رہا ہے۔ample
2020.06.15 20.1 1.1.0 • 10G ڈیٹا ریٹ کے لیے شامل کیا گیا تعاون۔
• flow.c file اب ڈیزائن سابق کے ساتھ دستیاب ہے۔ampلوپ بیک موڈ کو منتخب کرنے کے لیے لی جنریشن۔
• ایس میں ترمیم کی گئی۔ampلی آؤٹ پٹ برائے تخروپن ٹیسٹ سیکشن میں رن سمولیٹنگ دی ڈیزائن ایکسampلی ٹیسٹ بینچ۔
• سیکشن کمپائلنگ اور کنفیگرنگ میں 10G ڈیٹا ریٹ ڈیزائن چلانے کے لیے فریکوئنسی ویلیو شامل کی گئی۔
ڈیزائن سابقampہارڈ ویئر میں لی۔
• ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی ڈیزائن کی جانچ کے سیکشن میں درج ذیل تبدیلیاں کی گئی ہیں۔ampلی:
- 10G اور 25G کے درمیان ڈیٹا کی شرح کو تبدیل کرنے کے لیے کمانڈز شامل کیے گئے۔
— شامل کر دیا گیاampڈیٹا ریٹ سوئچنگ کے لیے لی آؤٹ پٹ
E-ٹائل ڈیوائس کی مختلف حالتوں میں لوپ بیک کو منتخب کرنے کے لیے TEST_MODE متغیر معلومات شامل کی گئیں۔
• ترمیم شدہ eCPRI Intel FPGA IP ہارڈ ویئر ڈیزائن Exampنیا شامل کرنے کے لیے les ہائی لیول بلاک ڈایاگرام
بلاکس
• تازہ کاری شدہ جدول: ڈیزائن سابقampنئے سگنل کو شامل کرنے کے لیے انٹرفیس سگنلز۔
• تازہ کاری شدہ ڈیزائن سابقample رجسٹر نقشہ سیکشن.
• نیا ضمیمہ سیکشن شامل کیا گیا: ایگزیکیوٹیبل اور لنکنگ فارمیٹ (.elf) پروگرامنگ بنانا اور ڈاؤن لوڈ کرنا File .
2020.04.13 19.4 1.1.0 ابتدائی رہائی۔

A. ایگزیکیوٹیبل اور لنکنگ فارمیٹ (.elf) پروگرامنگ کو تیار کرنا اور ڈاؤن لوڈ کرنا File

یہ سیکشن .elf کو بنانے اور ڈاؤن لوڈ کرنے کا طریقہ بتاتا ہے۔ file بورڈ کو:

  1. ڈائرکٹری کو <design_ex میں تبدیل کریں۔ample_dir>/synthesis/quatus.
  2. Intel Quartus Prime Pro Edition سافٹ ویئر میں، Open Project پر کلک کریں اور <design_ex کھولیں۔ample_dir>/synthesis/quartus/epri_ed.qpf۔ اب چاند گرہن کے لیے ٹولز ➤ Nios II سافٹ ویئر بلڈ ٹولز کو منتخب کریں۔
    تصویر 10۔ چاند گرہن کے لیے Nios II سافٹ ویئر بنانے والے ٹولزeCPRI Intel FPGA IP ڈیزائن - شکل 10
  3. ورک اسپیس لانچر ونڈو پرامپٹ ظاہر ہوتا ہے۔ ورک اسپیس میں راستے کی وضاحت کریں بطور <design_example_dir>/synthesis/quatus اپنے ایکلیپس پروجیکٹ کو اسٹور کرنے کے لیے۔ نیا Nios II - Eclipse ونڈو ظاہر ہوتا ہے۔
    شکل 11. ورک اسپیس لانچر ونڈوeCPRI Intel FPGA IP ڈیزائن - شکل 11
  4. Nios II - Eclipse ونڈو میں، Project Explorer ٹیب کے تحت دائیں کلک کریں، اور نیا ➤ Nios II بورڈ سپورٹ پیکیج منتخب کریں۔ نئی ونڈو ظاہر ہوتی ہے۔
    تصویر 12. پروجیکٹ ایکسپلورر ٹیبeCPRI Intel FPGA IP ڈیزائن - شکل 12
  5. Nios II بورڈ سپورٹ پیکیج ونڈو میں:
    • پروجیکٹ کے نام کے پیرامیٹر میں، اپنے مطلوبہ پروجیکٹ کا نام بتائیں۔
    • SOPC معلومات میں File نام کا پیرامیٹر، <design_ex کے مقام پر براؤز کریں۔ample_dir>/synthesis/ip_components/nios_system/ nios_system.sopcinfo file. ختم کریں پر کلک کریں.
    تصویر 13. Nios II بورڈ سپورٹ پیکیج ونڈوeCPRI Intel FPGA IP ڈیزائن - شکل 13
  6. نیا تخلیق شدہ پروجیکٹ Nios II Eclipse ونڈو میں پروجیکٹ ایکسپلورر ٹیب کے نیچے ظاہر ہوتا ہے۔ پروجیکٹ ایکسپلورر ٹیب کے تحت دائیں کلک کریں، اور Nios II ➤ Nios II کمانڈ شیل کو منتخب کریں۔
    تصویر 14. پروجیکٹ ایکسپلورر- Nios II کمانڈ شیلeCPRI Intel FPGA IP ڈیزائن - شکل 14
  7. Nios II کمانڈ شیل میں، درج ذیل تین کمانڈز ٹائپ کریں: nios2-bsp hal bsp ../../nios_system/nios_system.sopcinfo nios2-app-generate-makefile –app-dir app –bsp-dir bsp –elf-name\ nios_system.elf –src-dir ../../../ed_fw make –directory=app
  8. .elf file مندرجہ ذیل جگہ پر پیدا ہوتا ہے: <design_example_dir>/ synthesis/ip_components/software//app۔
  9. .elf کو بورڈ میں ڈاؤن لوڈ کرنے کے لیے Nios II کمانڈ شیل میں درج ذیل کمانڈ کو ٹائپ کریں:
    • Intel Stratix 10 کے لیے: nios2-download -g -r -c 1 -d 2 -accept-bad-sysid app/nios_system.elf
    • Intel Agilex 7 کے لیے: nios2-download -g -r -c 1 -d 1 -accept-bad-sysid app/nios_system.elf

انٹیل لوگوeCPRI Intel FPGA IP ڈیزائن - آئیکن آن لائن ورژن
eCPRI Intel FPGA IP ڈیزائن - آئیکن 1 تاثرات بھیجیں۔
یو جی 20278
683837
2023.05.19
eCPRI Intel® FPGA IP ڈیزائن Exampلی یوزر گائیڈ

دستاویزات / وسائل

انٹیل ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی ڈیزائن [پی ڈی ایف] یوزر گائیڈ
ای سی پی آر آئی انٹیل ایف پی جی اے آئی پی ڈیزائن، ای سی پی آر آئی، انٹیل ایف پی جی اے آئی پی ڈیزائن، ایف پی جی اے آئی پی ڈیزائن، آئی پی ڈیزائن، ڈیزائن

حوالہ جات

ایک تبصرہ چھوڑیں۔

آپ کا ای میل پتہ شائع نہیں کیا جائے گا۔ مطلوبہ فیلڈز نشان زد ہیں۔ *