intel RN-01080-22.1 Quartus Prime Standard Edition سافٹ ویئر

پروڈکٹ کی معلومات
پروڈکٹ انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن سافٹ ویئر ہے، خاص طور پر ورژن 22.1۔ اس میں فنکشنل اور سیکیورٹی اپ ڈیٹس، بگ فکسز، اور سافٹ ویئر کے رویے میں تبدیلیاں شامل ہیں۔ سافٹ ویئر کو آپ کے Intel Quartus Prime کی تنصیب کی سیکیورٹی کو بہتر بنانے اور کسٹمر سروس کی درخواستوں کو حل کرنے کے لیے ڈیزائن کیا گیا ہے۔
اس سافٹ ویئر کی ریلیز کے بارے میں اضافی معلومات کے لیے، براہ کرم Intel Quartus Prime Standard Edition README سے رجوع کریں۔ file /quartus/readme.txt پر واقع ہے۔ آپریٹنگ سسٹم سپورٹ کے بارے میں معلومات کے لیے، Intel FPGA آپریٹنگ سسٹم سپورٹ پر جائیں۔ webصفحہ
سافٹ ویئر ISO 9001:2015 رجسٹرڈ ہے۔
مصنوعات کے استعمال کی ہدایات
- فنکشنل، سیکیورٹی اپ ڈیٹس، بگ فکسز، اور بہتر سیکیورٹی سے فائدہ اٹھانے کے لیے اپنے Intel Quartus Prime سافٹ ویئر کو اپ ٹو ڈیٹ رکھیں۔
- اگر آپ کے پاس کسٹمر سروس کی کوئی درخواست ہے، تو دوبارہview صفحہ 13 پر حل کیے گئے سافٹ ویئر کے مسائل اور صفحہ 13 پر اس ریلیز میں شامل سافٹ ویئر پیچز یہ چیک کرنے کے لیے کہ آیا اس ورژن میں آپ کے مسائل کے لیے اصلاحات موجود ہیں۔
- کو view سافٹ ویئر کے تازہ ترین ورژن کے لیے پہلے سے طے شدہ اسائنمنٹ سیٹنگز، Intel Quartus Prime Default Settings سے رجوع کریں۔ File (.qdf) /quartus/bin/assignment_defaults.qdf پر واقع ہے۔
- اگر کسی بھی فیچر یا فنکشن کو پرانے ورژنز میں فرسودہ کر دیا گیا ہے، تو اپنے ٹولز اور پروسیسز کو ہٹانے سے پہلے متبادل یا متبادل فیچرز اور فنکشنز کو استعمال کرنے کے لیے منتقل کریں۔
- Intel Quartus Prime Standard Edition ورژن 22.1 میں کسی بھی خصوصیت یا فنکشن کو فرسودہ یا ہٹایا نہیں گیا ہے۔
Intel® Quartus® Prime Standard Edition Version 22.1 سافٹ ویئر اور ڈیوائس سپورٹ ریلیز نوٹس
یہ دستاویز Intel® Quartus® Prime Standard Edition ورژن 22.1 کے بارے میں دیر سے بریکنگ معلومات فراہم کرتی ہے۔
اس سافٹ ویئر ریلیز کے بارے میں اضافی معلومات کے لیے، Intel Quartus Prime سے رجوع کریں۔
معیاری ایڈیشن README file درج ذیل مقام پر: /quartus/readme.txt
آپریٹنگ سسٹم سپورٹ کے بارے میں معلومات کے لیے، درج ذیل سے رجوع کریں۔ web صفحہ: انٹیل ایف پی جی اے آپریٹنگ سسٹم سپورٹ۔
متعلقہ معلومات
- انٹیل کوارٹس پرائم پرو ایڈیشن سافٹ ویئر اور ڈیوائس سپورٹ ریلیز نوٹس
- لینکس کے لیے انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ڈیزائن سافٹ ویئر
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ڈیزائن سافٹ ویئر برائے ونڈوز
- لینکس کے لیے انٹیل کوارٹس پرائم لائٹ ایڈیشن ڈیزائن سافٹ ویئر
- انٹیل کوارٹس پرائم لائٹ ایڈیشن ڈیزائن سافٹ ویئر برائے ونڈوز
- انٹیل ایف پی جی اے سافٹ ویئر کی تنصیب اور لائسنسنگ
نئی خصوصیات اور اضافہ
Intel Quartus Prime Standard Edition سافٹ ویئر ورژن 22.1 میں فنکشنل اور سیکیورٹی اپ ڈیٹس شامل ہیں۔ اپنے سافٹ ویئر کو اپ ٹو ڈیٹ رکھیں اور ان تکنیکی سفارشات پر عمل کریں جو آپ کے Intel Quartus Prime کی تنصیب کو بہتر بنانے میں مدد کرتی ہیں۔
Intel Quartus Prime Standard Edition سافٹ ویئر ورژن 22.1 میں درج ذیل نئی خصوصیات اور اضافہ شامل ہیں:
- Nios® V/m پروسیسر کے لیے شامل کردہ تعاون۔
- Intel MAX® 10 آلات کے لیے، 1.8V LVDS سپورٹ شامل کیا گیا۔
بگ کی اصلاحات
Intel Quartus Prime Standard Edition Software Version 22.1 میں بگ فکسز بھی شامل ہیں۔ دوبارہview صفحہ 13 پر حل کیے گئے سافٹ ویئر کے مسائل اور صفحہ 13 پر اس ریلیز میں شامل سافٹ ویئر پیچ یہ دیکھنے کے لیے کہ آیا اس ورژن میں آپ کی کسٹمر سروس کی درخواستوں میں سے کسی کے لیے اصلاحات ہیں یا بصورت دیگر اسے حل کیا گیا ہے۔
سافٹ ویئر کے رویے میں تبدیلیاں
یہ سیکشن ایسی مثالوں کو دستاویز کرتا ہے جس میں Intel Quartus Prime Standard Edition سافٹ ویئر کے رویے اور ڈیفالٹ سیٹنگز کو Intel Quartus Prime Standard Edition سافٹ ویئر کے پہلے ریلیز سے تبدیل کر دیا گیا ہے۔
انٹیل کوارٹس پرائم ڈیفالٹ سیٹنگز سے رجوع کریں۔ File (.qdf) /quartus/bin/assignment_defaults.qdf، Intel Quartus Prime سافٹ ویئر کے تازہ ترین ورژن کے لیے تمام ڈیفالٹ اسائنمنٹ سیٹنگز کی فہرست کے لیے۔
فرسودہ خصوصیات اور افعال
- اس سیکشن میں درج فنکشنز اور خصوصیات کو فرسودہ کر دیا گیا ہے لیکن Intel Quartus Prime Standard Edition ورژن 22.1 یا اس سے پہلے سے ہٹایا نہیں گیا ہے۔ فرسودہ خصوصیات اور فنکشنز کو ہٹانے سے پہلے متبادل یا متبادل فیچرز اور فنکشنز استعمال کرنے کے لیے اپنے ٹولز اور پروسیسز کو منتقل کریں۔
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 22.1 کے مطابق فرسودہ خصوصیات اور افعال
- Intel Quartus Prime Standard Edition ورژن 22.1 میں کسی بھی Intel Quartus Prime کی خصوصیات یا فنکشنز کو فرسودہ نہیں کیا گیا ہے۔
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 21.1.1 کے مطابق فرسودہ خصوصیات اور افعال
- Intel Quartus Prime Standard Edition ورژن 21.1.1 میں کسی بھی Intel Quartus Prime کی خصوصیات یا فنکشنز کو فرسودہ نہیں کیا گیا ہے۔
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 21.1 کے مطابق فرسودہ خصوصیات اور افعال
- Intel Quartus Prime Standard Edition ورژن 21.1 میں کسی بھی Intel Quartus Prime کی خصوصیات یا فنکشنز کو فرسودہ نہیں کیا گیا ہے۔
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 20.1 کے مطابق فرسودہ خصوصیات اور افعال
- Intel Quartus Prime Standard Edition ورژن 20.1 میں کسی بھی Intel Quartus Prime کی خصوصیات یا فنکشنز کو فرسودہ نہیں کیا گیا ہے۔
ہٹائے گئے فیچرز اور فنکشنز
- اس سیکشن میں درج افعال اور خصوصیات کو Intel Quartus Prime Standard Edition ورژن 22.1 یا اس سے پہلے سے ہٹا دیا گیا ہے۔
- Intel Quartus Prime Standard Edition ورژن 22.1 سے خصوصیات اور افعال کو ہٹا دیا گیا۔
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 22.1 سے کوئی انٹیل کوارٹس پرائم فیچرز یا فنکشنز نہیں ہٹائے گئے ہیں۔
Intel Quartus Prime Standard Edition ورژن 21.1.1 سے خصوصیات اور افعال کو ہٹا دیا گیا۔
انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 21.1.1 سے کوئی انٹیل کوارٹس پرائم فیچرز یا فنکشنز نہیں ہٹائے گئے ہیں۔
Intel Quartus Prime Standard Edition ورژن 21.1 سے خصوصیات اور افعال کو ہٹا دیا گیا۔
- ہٹا دیا گیا ModelSim*-Intel FPGA ایڈیشن اور ModelSim-Intel FPGA سٹارٹر ایڈیشن
اس سمولیشن سافٹ ویئر کو Questa*-Intel FPGA Edition اور Questa-Intel FPGA Starter Edition نے بالترتیب تبدیل کر دیا ہے۔ - 32 بٹ سمولیشن سافٹ ویئر کے لیے سپورٹ کو ہٹا دیا گیا۔
یہ تبدیلی درج ذیل سمولیشن ٹولز کے لیے سپورٹ کو ہٹا دیتی ہے۔- Aldec* Active-HDL* (32 بٹ)
Aldec Active-HDL کا 64 بٹ ورژن استعمال کریں یا اس کے بجائے Aldec Riviera-PRO* استعمال کریں۔ - مینٹر گرافکس* ماڈل سم پیئ
اس کے بجائے Siemens* EDA ModelSim SE یا Siemens EDA Questa Advanced Simulator استعمال کریں۔
- Aldec* Active-HDL* (32 بٹ)
- NicheStack TCP/IP اسٹیک سپورٹ کو ہٹا دیا گیا۔
- Cadence* Incisive* Enterprise Simulator (IES) کے لیے سپورٹ کو ہٹا دیا گیا۔
Intel Quartus Prime Standard Edition ورژن 20.1 سے خصوصیات اور افعال کو ہٹا دیا گیا۔
درج ذیل سافٹ ویئر کے لیے سپورٹ کو Intel Quartus Prime Standard Edition ورژن 20.1 اور بعد کے ورژن سے ہٹا دیا گیا ہے۔
- Intel FPGAs کے لیے DSP بلڈر
- Intel FPGA SDK برائے OpenCL™ (*)
- اوپن سی ایل کے لیے انٹیل ایف پی جی اے آر ٹی ای
- انٹیل ہائی لیول سنتھیسس (HLS) کمپائلر
آپریٹنگ سسٹم سپورٹ
Intel Quartus Prime Design Suite کے لیے آپریٹنگ سسٹم سپورٹ کے بارے میں معلومات Intel FPGA کے آپریٹنگ سسٹم سپورٹ پیج پر دستیاب ہے۔ webسائٹ
Intel Quartus Prime Standard Edition ورژن 22.1 میں آپریٹنگ سسٹم سپورٹ کی تبدیلیاں
Intel Quartus Prime Standard Edition ورژن 22.1 میں درج ذیل آپریٹنگ سسٹمز کے لیے سپورٹ فرسودہ ہے:
- CentOS* Linux 8.2
- ونڈوز سرور* 2012
- ونڈوز سرور 2016
- ونڈوز* 10 ورژن 1607
اپنی ونڈوز 10 انسٹالیشن کو ونڈوز 10 ورژن 1809 یا اس سے بعد کے ورژن میں منتقل کریں۔
ان آپریٹنگ سسٹمز کے لیے سپورٹ مستقبل کی ریلیز میں ہٹا دی جا سکتی ہے۔
انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 22.1 نے درج ذیل آپریٹنگ سسٹمز کے لیے سپورٹ کو ہٹا دیا ہے۔ - سینٹوس لینکس 7.5
- CentOS Linux 8.0(1)
- سینٹوس لینکس 8.1
- ریڈ ہیٹ* انٹرپرائز لینکس* 7
- Red Hat Enterprise Linux 8.0(2)
- Red Hat Enterprise Linux 8.1
Intel Quartus Prime Standard Edition ورژن 21.1.1 میں آپریٹنگ سسٹم سپورٹ کی تبدیلیاں
Intel Quartus Prime Standard Edition ورژن 21.1.1 میں کوئی آپریٹنگ سسٹم سپورٹ تبدیلیاں نہیں ہیں۔
Intel Quartus Prime Standard Edition ورژن 21.1 میں آپریٹنگ سسٹم سپورٹ کی تبدیلیاں
Intel Quartus Prime Standard Edition Version 21.1 نے درج ذیل آپریٹنگ سسٹمز کے لیے سپورٹ شامل کیا:
- CentOS 8.0
- Red Hat Enterprise Linux 8
- SUSE* لینکس انٹرپرائز سرور 15
- Ubuntu* Linux 20 LTS
- ونڈوز سرور 2019
Intel Quartus Prime Standard Edition ورژن 21.1 کے مطابق درج ذیل آپریٹنگ سسٹمز کے لیے سپورٹ فرسودہ ہے۔ ان آپریٹنگ سسٹمز کے لیے سپورٹ مستقبل کی ریلیز میں ہٹا دی جا سکتی ہے:
- CentOS 7.5
- Red Hat Enterprise Linux 7
انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 21.1 نے درج ذیل آپریٹنگ سسٹمز کے لیے سپورٹ کو ہٹا دیا ہے۔ - Red Hat Enterprise Linux 6
- اوبنٹو لینکس 14 ایل ٹی ایس
متعلقہ معلومات
آپریٹنگ سسٹم سپورٹ
ڈسک اسپیس اور میموری کی سفارشات
Intel Quartus Prime Standard Edition سافٹ ویئر کی مکمل تنصیب کے لیے 40 GB تک دستیاب ڈسک کی جگہ درکار ہوتی ہے۔
اپنے سسٹم کو تجویز کردہ فزیکل ریم کے برابر اضافی ورچوئل میموری فراہم کرنے کے لیے کنفیگر کریں جو آپ کے ڈیزائن پر کارروائی کرنے کے لیے درکار ہے۔ یہ اضافی ورچوئل میموری آپ کے ڈیزائن پر کارروائی کے لیے دستیاب کل موثر میموری کو مؤثر طریقے سے دگنا کر دیتی ہے۔
نوٹ: چوٹی ورچوئل میموری ان سفارشات سے تجاوز کر سکتی ہے۔ یہ سفارشات 10% کے اندر رن ٹائم حاصل کرنے کے لیے درکار جسمانی میموری کی مقدار پر مبنی ہیں جو کہ لامحدود مقدار میں RAM کے ساتھ ہارڈ ویئر پر حاصل کی گئی ہے۔
ٹیبل 1. Arria® ڈیزائن کی پروسیسنگ کے لیے میموری کی ضروریات
یہ ضروریات ونڈوز اور لینکس دونوں تنصیبات کے لیے یکساں ہیں۔
| خاندان | ڈیوائس | تجویز کردہ فزیکل RAM |
| Intel Arria® 10 | 10AT115، 10AX115 | 48 جی بی |
| 10AT090، 10AX090 | 44 جی بی | |
| 10AS066, 10AX066 | 32 جی بی | |
| 10AS057, 10AX057 | 30 جی بی | |
| 10AS048, 10AX048 | 28 جی بی | |
| 10AX032، 10AS032 | 24 جی بی | |
| 10AX027، 10AS027 | 22 جی بی | |
| 10AX022، 10AS022 | 20 جی بی | |
| 10AX016، 10AS016 | 18 جی بی | |
| ارریا وی | 5AGXB5, 5AGTD7, 5AGXB7, 5ASXB5, 5ASTD5 | 16 جی بی |
| 5AGXB1, 5AGXB3, 5AGTD3, 5ASTD3, 5ASXB3 | 12 جی بی | |
| 5AGXA7، 5AGTC7 | 10 جی بی | |
| 5AGTC3, 5AGXA3, 5AGXA5 | 8 جی بی | |
| 5AGXA1 | 6 جی بی | |
| ارریا وی جی زیڈ | 5AGZE7 | 16 جی بی |
| 5AGZE3، 5AGZE5 | 12 جی بی | |
| 5AGZE1 | 8 جی بی | |
| Arria II GX | EP2AGX260 | 6 جی بی |
| EP2AGX95, EP2AGX125, EP2AGX190 | 4 جی بی | |
| EP2AGX65 | 2 جی بی | |
| EP2AGX45 | 1.5 جی بی | |
| ارریا II جی زیڈ | EP2AGZ350 | 8 جی بی |
| EP2AGZ300 | 6 جی بی | |
| EP2AGZ225 | 4 جی بی |
ٹیبل 2۔ سائیکلون® ڈیزائنز کی پروسیسنگ کے لیے میموری کی ضروریات
یہ ضروریات ونڈوز اور لینکس دونوں تنصیبات کے لیے یکساں ہیں۔
| خاندان | ڈیوائس | تجویز کردہ فزیکل RAM |
| Intel Cyclone® 10 LP | 10 سی ایل 120 | 1.5 جی بی |
| 10CL080, 10CL055 | 1 جی بی | |
| 10CL006, 10CL010, 10CL016, 10CL025, 10CL040 | 512 MB | |
| سائیکلون V | 5CEA9, 5CGTD9, 5CGXC9 | 8 جی بی |
| 5CEA2, 5CGXC3, 5CEA4, 5CGXC4, 5CEA5, 5CGTD5,
5CGXC5, 5CSEA5, 5CSTD5, 5CSXC5, 5CSEA6, 5CSXC6, 5CEA7, 5CGTD7, 5CGXC7, 5CSEA2, 5CSEA4, 5CSXC2, 5CSXC4، 5CSTD6 |
6 جی بی | |
| سائکلون IV GX۔ | EP4CGX110, EP4CGX150 | 2 جی بی |
| EP4CGX50, EP4CGX75 | 1.5 جی بی | |
| EP4CGX15, EP4CGX22, EP4CGX30 | 512 MB | |
| چکروہ IV E | EP4CE115 | 1.5 جی بی |
| EP4CE55، EP4CE75 | 1 جی بی | |
| EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40 | 512 MB |
جدول 3۔ MAX ڈیزائنوں کی پروسیسنگ کے لیے میموری کی ضروریات
یہ ضروریات ونڈوز اور لینکس دونوں تنصیبات کے لیے یکساں ہیں۔
| خاندان | ڈیوائس | تجویز کردہ فزیکل RAM |
| انٹیل میکس 10 | 10M50 | 2 جی بی |
| 10M16 | 2 جی بی | |
| 10M25 | 2 جی بی | |
| 10M40 | 2 جی بی | |
| 10M04, 10M08 | 1 جی بی | |
| 10M02 | 512 MB | |
| MAX V | تمام | 512 MB |
| میکس II۔ | تمام | 512 MB |
جدول 4. Stratix®Designs کی پروسیسنگ کے لیے میموری کی ضروریات
یہ ضروریات ونڈوز اور لینکس دونوں تنصیبات کے لیے یکساں ہیں۔
| خاندان | ڈیوائس | تجویز کردہ فزیکل RAM |
| Stratix® V | 5SEEB، 5SGXAB، 5SGXB9، 5SGXBB | 28 جی بی |
| 5SGXA9، 5SEE9 | 24 جی بی | |
| 5SGTC7, 5SGXA7, 5SGSD8 | 20 جی بی | |
| 5SGSD5, 5SGXA5, 5SGXB5, 5SGSD6, 5SGXB6 | 16 جی بی | |
| 5SGXA3, 5SGSD4, 5SGXA4, 5SGTC5 | 12 جی بی | |
| 5SGSD3 | 8 جی بی | |
| Stratix IV | EP4SGX530, EP4SE530, EP4SE820, EP4S40G5, EP4S100G5 | 12 جی بی |
| EP4SE360 EP4SGX360, EP4S100G3, EP4S100G4 | 8 جی بی | |
| EP4SGX290 | 6 جی بی | |
| EP4SE230 EP4SGX110, EP4SGX230, EP4S40G2, EP4S100G2 | 4 جی بی | |
| EP4SGX70 | 2 جی بی |
ڈیوائس سپورٹ اور پن آؤٹ سٹیٹس
تمام پروڈکشن ڈیوائسز میں فی الحال مکمل تالیف، تخروپن، وقت کا تجزیہ، اور پروگرامنگ سپورٹ موجود ہے۔
ڈیوائس سپورٹ میں تبدیلیاں
ٹائمنگ ماڈل، پاور ماڈل، اور ڈیوائس سٹیٹس
ٹیبل 5. انٹیل ارریا 10 ڈیوائسز کے لیے ٹائمنگ ماڈل، پاور ماڈل، اور ڈیوائس اسٹیٹس
| ڈیوائس فیملی | ڈیوائس | ٹائمنگ ماڈل کی حیثیت | پاور ماڈل کی حیثیت | ڈیوائس کی حیثیت |
| انٹیل ارریا 10 | 10AX016, 10AS016, 10AX022, 10AS022,
10AX027, 10AS027, 10AX032, 10AS032 |
فائنل - 16.1 (3)
(4) |
فائنل – 17.0 | فائنل – 17.0 |
| 10AX048، 10AS048 | فائنل - 16.0.2 (4) | فائنل – 17.0 | فائنل – 17.0 | |
| 10AX057, 10AS057, 10AX066, 10AS066,
10AT090، 10AX090 |
فائنل - 16.0.1 (4) | فائنل – 16.0.1 | فائنل – 16.0.1 | |
| 10AX115، 10AT115 | فائنل - 16.0 (4) | فائنل – 16.0 | فائنل – 16.0 |
ٹیبل 6. انٹیل سائیکلون 10 آلات کے لیے ٹائمنگ ماڈل، پاور ماڈل، اور ڈیوائس کی حیثیت
| ڈیوائس فیملی | ڈیوائس | ٹائمنگ ماڈل کی حیثیت | پاور ماڈل کی حیثیت | ڈیوائس کی حیثیت |
| انٹیل سائیکلون 10 ایل پی | 10CL006, 10CL010, 10CL016, 10CL025,
10CL040, 10CL055, 10CL080, 10CL120 |
فائنل – 17.0 | فائنل – 17.1 | فائنل – 17.1 |
جدول 7. Intel MAX 10 آلات کے لیے ٹائمنگ ماڈل، پاور ماڈل، اور ڈیوائس کی حیثیت
| ڈیوائس فیملی | ڈیوائس | ٹائمنگ ماڈل کی حیثیت | پاور ماڈل کی حیثیت | ڈیوائس کی حیثیت |
| انٹیل میکس 10 | 10M02, 10M04, 10M08 | فائنل - 15.1(5) | فائنل – 15.1 | فائنل – 15.1 |
| 10M16, 10M25, 10M40, 10M50 | فائنل – 15.1.2 | فائنل – 15.1 | فائنل – 15.1 |
Intel Quartus Prime سافٹ ویئر کے موجودہ ورژن میں Arria II GX، Arria II GZ، Arria V، Arria V GZ، Arria V SoC، سائیکلون IV E، سائیکلون IV GX، سائیکلون V، سائیکلون V کے لیے حتمی وقت اور پاور ماڈل بھی شامل ہیں۔ SoC، MAX II، MAX II Z، MAX V، Stratix IV، اور Stratix V ڈیوائس فیملیز۔ ان ڈیوائس فیملیز کے لیے ٹائمنگ ماڈلز انٹیل کوارٹس پرائم سافٹ ویئر ورژن 11.1 یا اس سے پہلے کے آخری ہو گئے۔
آئی بی آئی ایس ماڈلز
ٹیبل 8. انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن سافٹ ویئر ریلیز ورژن 22.1 کے لیے آئی بی آئی ایس ماڈل اسٹیٹس
Intel Quartus Prime Standard Edition سافٹ ویئر ورژن 16.0 سے شروع کرتے ہوئے، ڈیوائس فیملیز کے پاس IBIS ماڈل سٹیٹس ہیں جو یا تو ایڈوانس، ابتدائی، یا فائنل ہیں۔
| ڈیوائس فیملی | IBIS ماڈل کی حیثیت |
| انٹیل ارریا 10 | فائنل – 16.1.2 |
| ارریا وی | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 14.0 |
| Arria II GX | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 11.1 |
| ارریا II جی زیڈ | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 11.1 |
| انٹیل سائیکلون 10 ایل پی | فائنل – 17.0 |
| سائیکلون V | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 14.0 |
| چکروہ IV E | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 11.1 |
| سائکلون IV GX۔ | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 11.1 |
| انٹیل میکس 10 | فائنل – 16.0 |
| MAX V | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 11.1 |
| Stratix V | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 13.0 SP1 |
| Stratix IV | پی ایچ وائی ڈیوائس آپریشن کے ساتھ منسلک - 11.1 |
انٹیل ایف پی جی اے ڈیوائسز کے لیے آئی بی آئی ایس ماڈلز پر تازہ ترین آئی بی آئی ایس ماڈل آن لائن دستیاب ہیں۔ web صفحہ اس صفحہ کو اپ ڈیٹ کیا جاتا ہے کیونکہ آلات کے لیے IBIS ماڈلز دستیاب ہوتے ہیں یا اپ ڈیٹ ہوتے ہیں۔
EDA انٹرفیس کی معلومات
ٹیبل 9. انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن سافٹ ویئر ریلیز ورژن 22.1 کو سپورٹ کرنے والے ترکیب کے اوزار
| ترکیب کے اوزار | ورژن |
| سیمنز ای ڈی اے پریسجن* | سیمنز ای ڈی اے پریسجن ورژن جو انٹیل کوارٹس پرائم سافٹ ویئر کو سپورٹ کرتے ہیں عام طور پر انٹیل کوارٹس پرائم سافٹ ویئر کے اجراء کے بعد جاری کیے جاتے ہیں۔ انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن سافٹ ویئر ریلیز ورژن 22.1 کو سپورٹ کرنے والے Siemens EDA Precision کے ورژن کے لیے Siemens EDA سے رابطہ کریں۔ |
| Synopsys* Synplify*، Synplify Pro*، اور Synplify Premier | Synopsys Synplify، Synplify Pro، اور Synplify Premier ورژن جو Intel Quartus Prime سافٹ ویئر کو سپورٹ کرتے ہیں عام طور پر Intel Quartus Prime سافٹ ویئر کے ریلیز ہونے کے بعد جاری کیے جاتے ہیں۔ Synopsys Synplify، Synplify Pro، اور Synplify Premier کے ورژن کے لیے Synopsys سے رابطہ کریں جو Intel Quartus Prime Standard Edition سافٹ ویئر ریلیز ورژن 22.1 کو سپورٹ کرتے ہیں۔ |
ٹیبل 10. انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن سافٹ ویئر ریلیز ورژن 22.1 کو سپورٹ کرنے والے سمولیشن ٹولز
درج ذیل سمولیشن ٹولز RTL اور فنکشنل گیٹ لیول سمولیشن فراہم کرتے ہیں۔ صرف 64 بٹ سمولیشن ٹولز سپورٹ ہیں۔
| نقلی ٹولز | ورژن |
| Aldec ایکٹو-ایچ ڈی ایل | 13.0 (صرف ونڈوز) |
| Aldec Riviera-PRO | 2019.10 |
| Cadence Xcelium* متوازی لاجک سمولیشن | 21.09.003 (صرف لینکس*) |
| Questa-Intel FPGA ایڈیشن | 2021.2 |
| سیمنز EDA ModelSim SE | 2020.4 |
| سیمنز ای ڈی اے کوئسٹا ایڈوانسڈ سمیلیٹر | 2020.4 |
| Synopsys VCS* اور VCS MX | P-2019.06-SP2-5 (صرف لینکس) |
Questa-Intel FPGA ایڈیشن کے لیے FlexLM لائسنسنگ ڈیمون ورژن 11.16.4.0 (یا بعد کا) درکار ہے۔ آپ انٹیل ایف پی جی اے سافٹ ویئر کے لیے فلیکس ایل ایم لائسنس ڈیمون سے لائسنسنگ ڈیمون حاصل کر سکتے ہیں۔ web صفحہ
آپ FPGAs کے ڈاؤن لوڈ سینٹر سے نقلی ٹولز کا Intel FPGA ایڈیشن حاصل کر سکتے ہیں۔
Questa-Intel FPGA ایڈیشن ورژن 2021.2 کے لیے آپریٹنگ سسٹم سپورٹ
- Red Hat Enterprise Linux 7 (64 بٹ)
- Red Hat Enterprise Linux 8 (64 بٹ)
- SUSE لینکس انٹرپرائز سرور 12 (64 بٹ)
- ونڈوز 10 (64 بٹ)
متعلقہ معلومات
- لینکس کے لیے انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ڈیزائن سافٹ ویئر
- انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ڈیزائن سافٹ ویئر برائے ونڈوز
- لینکس کے لیے انٹیل کوارٹس پرائم لائٹ ایڈیشن ڈیزائن سافٹ ویئر
- انٹیل کوارٹس پرائم لائٹ ایڈیشن ڈیزائن سافٹ ویئر برائے ونڈوز
اینٹی وائرس کی تصدیق
انٹیل کوارٹس پرائم سافٹ ویئر کا ریلیز ورژن 22.1 درج ذیل سافٹ ویئر کے ساتھ وائرس سے پاک تصدیق شدہ ہے۔
اینٹی وائرس تصدیقی سافٹ ویئر
لینکس 64 ورژن کے لیے میکافی وائرس اسکین کمانڈ لائن: 7.0.0.477
AV انجن ورژن: Linux6300.9389 کے لیے 64۔
ڈیٹا سیٹ ورژن: 10505 19 اکتوبر 2022 کو بنایا گیا۔
سافٹ ویئر کے مسائل حل ہو گئے۔
درج ذیل کسٹمر سروس کی درخواستوں کو Intel Quartus Prime Standard Edition ورژن 22.1 میں طے کیا گیا تھا یا بصورت دیگر حل کیا گیا تھا:
جدول 11. انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 22.1 میں حل شدہ مسائل
| کسٹمر سروس کی درخواست نمبر | |||||||
| 00421084 | 00451015 | 00476432 | 00501636 | 00529632 | 00540927 | 00541897 | 00550660 |
| 00553391 | 00573916 | 00630517 | 00641570 | 00644185 | 00647421 | 00649470 | 00661097 |
| 00668452 | 00669646 | 00675753 | 00683291 | 00689611 | 00690524 | 00693884 | 00696003 |
| 00698210 | 00698732 | 05129080 | 05465225 | 11396299 | |||
سافٹ ویئر پیچ اس ریلیز میں شامل ہیں۔
Intel Quartus Prime Standard Edition ورژن 22.1 Intel Quartus Prime Standard Edition سافٹ ویئر کے پچھلے ورژن کے لیے درج ذیل پیچ پر مشتمل ہے:
ٹیبل 12. انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 22.1 میں شامل سافٹ ویئر پیچ
| سافٹ ویئر ورژن | پیوند | کسٹمر سروس کی درخواست نمبر |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.10 ویں | - |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.08 ویں | 00693884 |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.07 ویں | 00501636 |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.06 ویں | 00689611 |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.04stdp | - |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.03 ویں | - |
| انٹیل کوارٹس پرائم ورژن 21.1 | 0.02 ویں | - |
| انٹیل کوارٹس پرائم ورژن 20.1.1 | 1.09 ویں | 00702107 |
| انٹیل کوارٹس پرائم ورژن 20.1 | 0.14 ویں | 00702107 |
| انٹیل کوارٹس پرائم ورژن 18.1.1 | 1.13 ویں | - |
| انٹیل کوارٹس پرائم ورژن 18.1.1 | 1.12 ویں | - |
| انٹیل کوارٹس پرائم ورژن 18.1.1 | 1.09 ویں | - |
| سافٹ ویئر ورژن | پیوند | کسٹمر سروس کی درخواست نمبر |
| انٹیل کوارٹس پرائم ورژن 18.1 | 0.23 ویں | 00698210 |
| انٹیل کوارٹس پرائم ورژن 18.1 | 0.21 ویں | 00669646 |
| انٹیل کوارٹس پرائم ورژن 18.1 | 0.20 ویں | 00689611 |
تازہ ترین معلوم انٹیل کوارٹس پرائم سافٹ ویئر کے مسائل
معلوم مسائل کے بارے میں معلومات جو Intel Quartus Prime Standard Edition ورژن 22.1 کو متاثر کرتی ہیں Intel FPGA نالج بیس میں دستیاب ہے۔
Intel Quartus Prime Standard Edition ورژن 22.1 کو متاثر کرنے والے مسائل کے بارے میں تازہ ترین معلومات کے لیے، دوبارہview Intel FPGA نالج بیس مضامین جو Intel Quartus Prime Standard Edition ورژن 22.1 پر لاگو ہوتے ہیں۔
جدول 13. انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن ورژن 22.1 کو متاثر کرنے والے اہم معلوم مسائل
| تفصیل | کام کاج |
| Microsoft* ونڈوز سسٹمز پر، SDI II Intel FPGA IP ڈیزائن سابقampلی جنریشن درج ذیل ایرر میسج کے ساتھ ناکام ہو جاتی ہے۔ | تفصیلات اور فکس کی دستیابی کے لیے، رجوع کریں۔ کیوں کرتا ہے SDI II Intel FPGA IP ڈیزائن سابقampلی نسل ناکام ونڈوز کے لیے انٹیل کوارٹس پرائم سافٹ ویئر استعمال کرتے وقت؟ Intel FPGA نالج بیس میں۔ |
| خرابی: سابق پیدا کرنے میں ناکامampلی ڈیزائن سابقample_design to :: \sdi_ii_0_example_design | |
| مائیکروسافٹ ونڈوز سسٹمز پر، Intel Arria 10 EMIF Ex پیدا کرتے وقت درج ذیل خرابی ہوتی ہے۔ampتخروپن کے لئے ڈیزائن: | آپ ان انتباہی پیغامات کو محفوظ طریقے سے نظر انداز کر سکتے ہیں۔ نقلی file سیمنز EDA Questa اور Aldec کے لیے سیٹ
Riviera-PRO سمولیشن سافٹ ویئر تیار کیے گئے ہیں اور متعلقہ ڈیزائن پر مشتمل ہیں۔ files تخروپن کو کامیابی سے چلانے کے لیے۔ مزید تفصیلات اور فکس کی دستیابی کے لیے رجوع کریں۔ کیوں Intel Arria 10 EMIF Ex کرتا ہے۔ampلی ڈیزائن جنریشن فیل Intel Quartus Prime Standard Edition استعمال کرتے وقت ونڈوز کے لیے سافٹ ویئر ورژن 22.1؟ Intel FPGA نالج بیس میں۔ |
| خرابی: emif_0: تخروپن سابق پیدا کرتے وقت ایک خرابی واقع ہوئی ہے۔ampلی ڈیزائن. تفصیلات کے لیے make_sim_design_errors.log دیکھیں۔ | |
| خرابی: سابق پیدا کرنے میں ناکامampلی ڈیزائن
کو: <example ڈیزائن ڈائریکٹری> |
|
| سابق پیدا کریں۔ampلی ڈیزائن: غلطیوں کے ساتھ مکمل | |
| جب آپ Intel Arria 10 EMIF IP Skip Calibration موڈ استعمال کرتے ہیں تو Intel Arria 10 EMIF IP کا سیمنز EDA Questa سمولیشن سافٹ ویئر (Siemens EDA Questa Advanced Simulator یا Questa-Intel FPGA Edition) کے ساتھ سمولیشن ہینگ ہو سکتا ہے۔ | تیز تخروپن کے لیے Abstract PHY استعمال کریں۔
ہینگ کو روکنے کے لئے نقلی اختیار. مزید تفصیلات اور فکس کی دستیابی کے لیے رجوع کریں۔ کیوں مینٹور میں Intel Arria 10 EMIF IP کی نقل کرتا ہے۔ انٹیل کوارٹس پرائم اسٹینڈرڈ استعمال کرتے وقت سمیلیٹر لٹک جاتے ہیں۔ ایڈیشن سافٹ ویئر ورژن 22.1 Intel FPGA نالج بیس میں۔ |
آپ انٹیل ایف پی جی اے نالج بیس پر کوارٹس پرائم سافٹ ویئر کے پچھلے ورژن کے لیے معلوم مسئلہ کی معلومات حاصل کر سکتے ہیں۔ web صفحہ
معلوم سافٹ ویئر کے مسائل کے بارے میں معلومات جو Quartus II سافٹ ویئر کے پچھلے ورژن کو متاثر کرتی ہیں Intel Quartus Prime اور Quartus II سافٹ ویئر سپورٹ پر دستیاب ہے۔ web صفحہ
Intel FPGA IP لائبریری کو متاثر کرنے والے مسائل کے بارے میں معلومات ہر IP کے ریلیز نوٹس میں دستیاب ہے۔ آپ انٹیل ایف پی جی اے ڈاکومینٹیشن انڈیکس پر آئی پی ریلیز نوٹس تلاش کر سکتے ہیں۔ web صفحہ
متعلقہ معلومات
- انٹیل ایف پی جی اے نالج بیس
- انٹیل کوارٹس پرائم اور کوارٹس II سافٹ ویئر سپورٹ
- انٹیل ایف پی جی اے اور پروگرام ایبل ڈیوائسز ریلیز نوٹس
Intel Quartus Prime Standard Edition سافٹ ویئر اور ڈیوائس سپورٹ ریلیز نوٹس آرکائیوز
ان ریلیز نوٹس کے تازہ ترین اور پچھلے ورژنز کے لیے، Intel Quartus Prime Standard Edition سافٹ ویئر اور ڈیوائس سپورٹ ریلیز نوٹس دیکھیں۔ اگر سافٹ ویئر ورژن درج نہیں ہے تو، پچھلے سافٹ ویئر ورژن کے ریلیز نوٹس لاگو ہوتے ہیں۔
Intel Quartus Prime Standard Edition سافٹ ویئر ریلیز ورژن 22.1 دستاویز پر نظر ثانی کی تاریخ
| دستاویز کا ورژن | انٹیل کوارٹس پرائم ورژن | تبدیلیاں |
| 2022.11.07 | 22.1 | • تازہ ترین معلوم سافٹ ویئر کے مسائل کو اپ ڈیٹ کیا گیا۔ |
| 2022.10.31 | 22.1 | • ابتدائی رہائی. |
انٹیل کوارٹس پرائم اسٹینڈرڈ ایڈیشن: ورژن 22.1 سافٹ ویئر اور ڈیوائس سپورٹ ریلیز نوٹس
دستاویزات / وسائل
![]() |
intel RN-01080-22.1 Quartus Prime Standard Edition سافٹ ویئر [پی ڈی ایف] یوزر گائیڈ ورژن 22.1, RN-01080-22.1, RN-01080-22.1 Quartus Prime Standard Edition Software, Quartus Prime Standard Edition Software, Prime Standard Edition Software, Standard Edition Software, Edition Software, Software |

