کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP ڈیزائن Example

کوئیک اسٹارٹ گائیڈ
کم لیٹنسی E-Tile 40G Ethernet Intel® FPGA IP کور ایک سمولیشن ٹیسٹ بینچ اور ایک ہارڈ ویئر ڈیزائن فراہم کرتا ہےample جو تالیف اور ہارڈویئر ٹیسٹنگ کی حمایت کرتا ہے۔ جب آپ ڈیزائن سابقہ تیار کرتے ہیں۔ampلی، Intel Quartus® Prime IP پیرامیٹر ایڈیٹر خود بخود تخلیق کرتا ہے۔ fileہارڈ ویئر میں ڈیزائن کو نقل کرنے، مرتب کرنے اور جانچنے کے لیے ضروری ہے۔ اس کے علاوہ، آپ مرتب کردہ ہارڈویئر ڈیزائن کو انٹرآپریٹو ٹیسٹنگ کے لیے انٹیل ڈیوائس کے لیے مخصوص ڈویلپمنٹ کٹ میں ڈاؤن لوڈ کر سکتے ہیں۔ Intel FPGA IP میں صرف ایک تالیف سابق بھی شامل ہے۔ample پروجیکٹ جسے آپ IP کور ایریا اور ٹائمنگ کا تیزی سے اندازہ لگانے کے لیے استعمال کر سکتے ہیں۔ کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP ڈیزائن سابق کو سپورٹ کرتا ہے۔ampپیرامیٹرز کی ایک وسیع رینج کے ساتھ لی نسل۔ تاہم، ڈیزائن سابقamples Low Latency E-Tile 40G Ethernet Intel FPGA IP کور کے تمام ممکنہ پیرامیٹرز کا احاطہ نہیں کرتا ہے۔
ڈیزائن کے لیے ترقی کے مراحل Example

متعلقہ معلومات
- کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP صارف گائیڈ
کم لیٹنسی ای-ٹائل 40G ایتھرنیٹ IP پر تفصیلی معلومات کے لیے۔ - کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP ریلیز نوٹس
آئی پی ریلیز نوٹس کسی خاص ریلیز میں آئی پی کی تبدیلیوں کی فہرست۔
ڈیزائن تیار کرنا Example
طریقہ کار

انٹیل کارپوریشن۔ جملہ حقوق محفوظ ہیں. Intel، Intel لوگو، اور Intel کے دیگر نشانات Intel Corporation یا اس کے ذیلی اداروں کے ٹریڈ مارک ہیں۔ Intel اپنی FPGA اور سیمی کنڈکٹر مصنوعات کی کارکردگی کو Intel کی معیاری وارنٹی کے مطابق موجودہ تصریحات کی ضمانت دیتا ہے، لیکن بغیر اطلاع کے کسی بھی وقت کسی بھی مصنوعات اور خدمات میں تبدیلیاں کرنے کا حق محفوظ رکھتا ہے۔ Intel یہاں بیان کردہ کسی بھی معلومات، پروڈکٹ، یا سروس کے اطلاق یا استعمال سے پیدا ہونے والی کوئی ذمہ داری یا ذمہ داری قبول نہیں کرتا ہے سوائے اس کے کہ Intel کی طرف سے تحریری طور پر واضح طور پر اتفاق کیا گیا ہو۔ انٹیل کے صارفین کو مشورہ دیا جاتا ہے کہ وہ کسی بھی شائع شدہ معلومات پر بھروسہ کرنے سے پہلے اور مصنوعات یا خدمات کے آرڈر دینے سے پہلے ڈیوائس کی تفصیلات کا تازہ ترین ورژن حاصل کریں۔ دوسرے ناموں اور برانڈز پر دوسروں کی ملکیت کے طور پر دعوی کیا جا سکتا ہے۔
Exampکم لیٹنسی ای ٹائل 40G ایتھرنیٹ پیرامیٹر ایڈیٹر میں ڈیزائن ٹیب
ڈیزائن ایکس تیار کرنے کے لیے Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit منتخب کریں۔ample Intel Stratix® 10 آلات کے لیے۔ ڈیزائن ایکس تیار کرنے کے لیے Agilex F-series Transceiver-SoC ڈویلپمنٹ کٹ کو منتخب کریں۔ample Intel Agilex™ آلات کے لیے۔

ہارڈ ویئر ڈیزائن سابق پیدا کرنے کے لیے ان اقدامات پر عمل کریں۔ampلی اور ٹیسٹ بینچ:
- Intel Quartus Prime Pro Edition سافٹ ویئر میں، کلک کریں۔ File ➤ نیا پروجیکٹ وزرڈ
ایک نیا انٹیل کوارٹس پرائم پروجیکٹ بنانے کے لیے، یا File ➤ موجودہ انٹیل کوارٹس پرائم سافٹ ویئر پروجیکٹ کو کھولنے کے لیے پروجیکٹ کھولیں۔ وزرڈ آپ کو ڈیوائس فیملی اور ڈیوائس کی وضاحت کرنے کا اشارہ کرتا ہے۔
نوٹ: ڈیزائن سابقample ٹارگٹ بورڈ پر ڈیوائس کے ساتھ انتخاب کو اوور رائٹ کرتا ہے۔ آپ ڈیزائن سابق کے مینو سے ٹارگٹ بورڈ کی وضاحت کرتے ہیں۔ampسابق میں اختیاراتampلی ڈیزائن ٹیب (مرحلہ 8)۔ - آئی پی کیٹلاگ میں، لو لیٹنسی ای ٹائل 40G ایتھرنیٹ انٹیل ایف پی جی اے آئی پی کو تلاش کریں اور منتخب کریں۔ نئی آئی پی ویری ایشن ونڈو ظاہر ہوتی ہے۔
- اپنی مرضی کے مطابق آئی پی کی مختلف حالتوں کے لیے ایک اعلیٰ سطحی نام کی وضاحت کریں۔ Intel Quartus Prime IP پیرامیٹر ایڈیٹر IP تغیرات کی ترتیبات کو a میں محفوظ کرتا ہے۔ file نامزد .ip
- ٹھیک ہے پر کلک کریں۔ آئی پی پیرامیٹر ایڈیٹر ظاہر ہوتا ہے۔
- IP ٹیب پر، اپنے IP بنیادی تغیرات کے لیے پیرامیٹرز کی وضاحت کریں۔
نوٹ: The Low Latency E-Tile 40G Ethernet Intel FPGA IP ڈیزائن سابقample درست طریقے سے نقل نہیں کرتا ہے اور اگر آپ درج ذیل میں سے کسی پیرامیٹرز کی وضاحت کرتے ہیں تو صحیح طریقے سے کام نہیں کرتا ہے۔- پریمبل پاس تھرو کو فعال کریں۔
- تیار تاخیر 3 کی قدر پر سیٹ ہے۔
- TX CRC اندراج کو فعال کریں۔
- سابق پرampلی ڈیزائن ٹیب، سابق کے تحتampلی ڈیزائن Files، ٹیسٹ بینچ بنانے کے لیے سمولیشن آپشن کو فعال کریں، اور صرف کمپلیشن اور ہارڈ ویئر ڈیزائن بنانے کے لیے سنتھیسس آپشن کو منتخب کریں۔amples
نوٹ: سابق پرampلی ڈیزائن ٹیب، جنریٹڈ ایچ ڈی ایل فارمیٹ کے تحت، صرف ویریلوگ ایچ ڈی ایل دستیاب ہے۔ یہ IP کور VHDL کو سپورٹ نہیں کرتا ہے۔ - ٹارگٹ ڈویلپمنٹ کٹ کے تحت Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit یا Agilex F-series Transceiver-SoC ڈویلپمنٹ کٹ منتخب کریں۔
نوٹ: آپ جو ڈیولپمنٹ کٹ منتخب کرتے ہیں وہ مرحلہ میں ڈیوائس کے انتخاب کو اوور رائٹ کر دیتی ہے۔- Intel Stratix 10 E-tile ٹارگٹ ڈیوائس 1SG280LU3F50E3VGS1 ہے۔
- Intel Agilex E-tile ڈیوائس کا ہدف AGFB014R24A2E2VR0 ہے۔
- جنریٹ ایکس پر کلک کریں۔ampلی ڈیزائن بٹن۔ منتخب کریں سابقampلی ڈیزائن ڈائرکٹری ونڈو ظاہر ہوتی ہے۔
- اگر آپ ڈیزائن میں ترمیم کرنا چاہتے ہیں۔ample ڈائریکٹری کا راستہ یا پہلے سے ظاہر کردہ نام سے (alt_e40c3_0_example_design)، نئے راستے پر براؤز کریں اور نئے ڈیزائن کو ٹائپ کریں۔ample ڈائریکٹری کا نام (ample_dir>)۔
- ٹھیک ہے پر کلک کریں۔
متعلقہ معلومات
- آئی پی کور پیرامیٹرز
آپ کے IP کور کو حسب ضرورت بنانے کے بارے میں مزید معلومات فراہم کرتا ہے۔ - Intel Stratix 10 E-Tile TX سگنل انٹیگریٹی ڈیولپمنٹ کٹ
- Intel Agilex F-Series FPGA ڈویلپمنٹ کٹ
ڈیزائن سابقampپیرامیٹرز
سابق میں پیرامیٹرزampلی ڈیزائن ٹیب
| پیرامیٹر | تفصیل |
| ڈیزائن منتخب کریں۔ | دستیاب سابقampIP پیرامیٹر کی ترتیبات کے لئے ڈیزائن کرتا ہے۔ جب آپ پیش سیٹ لائبریری سے کوئی ڈیزائن منتخب کرتے ہیں، تو یہ فیلڈ منتخب کردہ ڈیزائن کو دکھاتا ہے۔ |
| Exampلی ڈیزائن Files | دی fileمختلف ترقی کے مرحلے کے لیے پیدا کرنا۔
• تخروپن- ضروری پیدا کرتا ہے۔ files سابق کی نقل کرنے کے لیےampلی ڈیزائن. • ترکیب- ترکیب پیدا کرتا ہے۔ files ان کو استعمال کریں۔ fileہارڈ ویئر ٹیسٹنگ کے لیے Intel Quartus Prime Pro Edition سافٹ ویئر میں ڈیزائن کو مرتب کرنا اور سٹیٹک ٹائمنگ تجزیہ کرنا۔ |
| پیدا کریں۔ File فارمیٹ | RTL کی شکل files تخروپن کے لیے — ویریلوگ یا وی ایچ ڈی ایل۔ |
| بورڈ منتخب کریں۔ | ڈیزائن کے نفاذ کے لیے معاون ہارڈ ویئر۔ جب آپ انٹیل ڈویلپمنٹ بورڈ کو منتخب کرتے ہیں، ٹارگٹ ڈیوائس وہ ہے جو ڈویلپمنٹ کٹ پر موجود ڈیوائس سے میل کھاتا ہے۔
اگر یہ مینو دستیاب نہیں ہے تو، آپ کے منتخب کردہ اختیارات کے لیے کوئی معاون بورڈ نہیں ہے۔ Agilex F-series Transceiver-SoC ڈویلپمنٹ کٹ: یہ آپشن آپ کو ڈیزائن سابق کی جانچ کرنے کی اجازت دیتا ہے۔ampمنتخب کردہ Intel FPGA IP ڈویلپمنٹ کٹ پر۔ یہ آپشن خود بخود منتخب کرتا ہے۔ ٹارگٹ ڈیوائس AGFB014R24A2E2VR0 کا۔ اگر آپ کے بورڈ کی نظرثانی میں ڈیوائس کا مختلف گریڈ ہے، تو آپ ٹارگٹ ڈیوائس کو تبدیل کر سکتے ہیں۔ |
| جاری… | |
| پیرامیٹر | تفصیل |
| Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit: یہ آپشن آپ کو ڈیزائن سابق کی جانچ کرنے کی اجازت دیتا ہے۔ampمنتخب کردہ Intel FPGA IP ڈویلپمنٹ کٹ پر۔ یہ آپشن خود بخود منتخب کرتا ہے۔ ٹارگٹ ڈیوائس 1ST280EY2F55E2VG کا۔ اگر آپ کے بورڈ کی نظرثانی میں ڈیوائس کا مختلف گریڈ ہے، تو آپ ٹارگٹ ڈیوائس کو تبدیل کر سکتے ہیں۔
کوئی نہیں۔: یہ اختیار ڈیزائن سابق کے لیے ہارڈ ویئر کے پہلوؤں کو خارج کرتا ہے۔ample |
ڈائرکٹری کا ڈھانچہ
کم لیٹنسی E-Tile 40G ایتھرنیٹ IP کور ڈیزائن سابقample file ڈائریکٹریز مندرجہ ذیل پیدا پر مشتمل ہے fileڈیزائن سابق کے لئے sample
جنریٹڈ ڈیزائن کے لیے ڈائرکٹری کا ڈھانچہ Example

- تخروپن files (صرف تخروپن کے لیے ٹیسٹ بینچ) میں واقع ہیں۔ample_dir>/example_testbench
- صرف تالیف سابقampلی ڈیزائن میں واقع ہے۔ample_dir>/ compilation_test_design.
- ہارڈ ویئر کی ترتیب اور ٹیسٹ files ( ہارڈ ویئر ڈیزائن سابقample) میں واقع ہیں۔ample_dir>/hardware_test_design
ڈائریکٹری اور File تفصیل
| File نام | تفصیل |
| eth_ex_40g.qpf | انٹیل کوارٹس پرائم پروجیکٹ file. |
| eth_ex_40g.qsf | انٹیل کوارٹس پرائم پروجیکٹ کی ترتیبات file. |
| جاری… | |
| File نام | تفصیل |
| eth_ex_40g.sdc | Synopsys* ڈیزائن کی پابندیاں file. آپ اسے کاپی اور ترمیم کرسکتے ہیں۔ file آپ کے اپنے لو لیٹینسی E-Tile 40G Ethernet Intel FPGA IP ڈیزائن کے لیے۔ |
| eth_ex_40g.srf | انٹیل کوارٹس پرائم پروجیکٹ پیغام دبانے کا اصول file. |
| eth_ex_40g.v | ٹاپ لیول ویریلوگ ایچ ڈی ایل ڈیزائن سابقample file. |
| eth_ex_40g_clock.sdc | Synopsys ڈیزائن کی پابندیاں file گھڑیوں کے لیے |
| عام/ | ہارڈ ویئر ڈیزائن سابقampلی حمایت files. |
| hwtest/main.tcl | مین file سسٹم کنسول تک رسائی کے لیے۔ |
ڈیزائن کی تقلید سابقampلی ٹیسٹ بینچ
آپ کمانڈ پرامپٹ سے نقلی اسکرپٹ چلا کر ڈیزائن کو مرتب اور نقل کر سکتے ہیں۔

- کمانڈ پرامپٹ پر، ورکنگ ڈائرکٹری کو تبدیل کریں۔ample_dir>/example_testbench
- اپنی پسند کے معاون سمیلیٹر کے لیے نقلی اسکرپٹ چلائیں۔ اسکرپٹ سمیلیٹر میں ٹیسٹ بینچ کو مرتب اور چلاتا ہے۔
ٹیسٹ بینچ کی تقلید کے لیے ہدایات
| سمیلیٹر | ہدایات |
| ماڈل سم* | کمانڈ لائن میں ٹائپ کریں vsim -do run_vsim.do۔
اگر آپ ModelSim GUI کو سامنے لائے بغیر نقل کرنا پسند کرتے ہیں تو vsim -c -do run_vsim.do ٹائپ کریں۔ نوٹ: ModelSim-AE اور ModelSim-ASE سمیلیٹر اس آئی پی کور کی نقل نہیں کر سکتے۔ آپ کو ایک اور تعاون یافتہ ModelSim سمیلیٹر جیسے ModelSim SE کا استعمال کرنا چاہیے۔ |
| VCS* | کمانڈ لائن میں، sh run_vcs.sh ٹائپ کریں۔ |
| VCS MX | کمانڈ لائن میں، sh run_vcsmx.sh ٹائپ کریں۔
اس اسکرپٹ کو استعمال کریں جب ڈیزائن میں ویریلوگ ایچ ڈی ایل اور سسٹم ویریلوگ وی ایچ ڈی ایل کے ساتھ ہو۔ |
| NCSim | کمانڈ لائن میں، sh run_ncsim.sh ٹائپ کریں۔ |
| Xcelium* | کمانڈ لائن میں، sh run_xcelium.sh ٹائپ کریں۔ |
ایک کامیاب تخروپن مندرجہ ذیل پیغام کے ساتھ ختم ہوتا ہے: نقلی پاس۔ یا ٹیسٹ بینچ مکمل۔ کامیاب تکمیل کے بعد، آپ نتائج کا تجزیہ کر سکتے ہیں۔
ڈیزائن کو مرتب کرنا اور ترتیب دینا Exampہارڈ ویئر میں le
Intel FPGA IP کور پیرامیٹر ایڈیٹر آپ کو ڈیزائن سابق کو مرتب اور ترتیب دینے کی اجازت دیتا ہے۔ampٹارگٹ ڈیولپمنٹ کٹ پر

ایک ڈیزائن کو مرتب اور ترتیب دینے کے لیے سابقampہارڈ ویئر پر، ان اقدامات پر عمل کریں:
- Intel Quartus Prime Pro Edition سافٹ ویئر لانچ کریں اور ڈیزائن کو مرتب کرنے کے لیے Processing ➤ Start Compilation کو منتخب کریں۔
- SRAM آبجیکٹ بنانے کے بعد file .sof، ہارڈ ویئر ڈیزائن سابق کو پروگرام کرنے کے لیے ان اقدامات پر عمل کریں۔ampلی انٹیل ڈیوائس پر:
- ٹولز ➤ پروگرامر منتخب کریں۔
- پروگرامر میں، ہارڈ ویئر سیٹ اپ پر کلک کریں۔
- ایک پروگرامنگ ڈیوائس منتخب کریں۔
- اپنے Intel Quartus Prime Pro Edition سیشن میں Intel TX بورڈ کو منتخب کریں اور شامل کریں۔
- یقینی بنائیں کہ موڈ J پر سیٹ ہے۔TAG.
- انٹیل ڈیوائس کو منتخب کریں اور ڈیوائس شامل کریں پر کلک کریں۔ پروگرامر آپ کے بورڈ پر موجود آلات کے درمیان کنکشن کا ایک بلاک ڈایاگرام دکھاتا ہے۔
- اپنے .sof کے ساتھ قطار میں، .sof کے لیے باکس کو نشان زد کریں۔
- .sof کے لیے پروگرام/کنفیگر آپشن کو آن کریں۔
- اسٹارٹ پر کلک کریں۔
متعلقہ معلومات
- درجہ بندی اور ٹیم پر مبنی ڈیزائن کے لیے اضافی تالیف
- پروگرامنگ انٹیل ایف پی جی اے ڈیوائسز
ہارڈ ویئر ڈیزائن میں ٹارگٹ ڈیوائس کو تبدیل کرنا Example
اگر آپ نے Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit کو اپنے ٹارگٹ ڈیوائس کے طور پر منتخب کیا ہے تو کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP کور ایک ہارڈ ویئر تیار کرتا ہے۔ampٹارگٹ ڈیوائس 1ST280EY2F55E2VG کے لیے ڈیزائن۔ اگر آپ نے Agilex F-series Transceiver-SoC ڈویلپمنٹ کٹ کو اپنے ٹارگٹ ڈیوائس کے طور پر منتخب کیا ہے، تو لو لیٹنسی E-Tile 40G Ethernet Intel FPGA IP کور ایک ہارڈ ویئر تیار کرتا ہے۔ampٹارگٹ ڈیوائس AGFB014R24A2E2VR0 کے لیے ڈیزائن۔ مخصوص ٹارگٹ ڈیوائس آپ کی ڈیولپمنٹ کٹ پر موجود ڈیوائس سے مختلف ہو سکتی ہے۔ اپنے ہارڈویئر ڈیزائن میں ٹارگٹ ڈیوائس کو تبدیل کرنے کے لیے سابقampلی، ان اقدامات پر عمل کریں:
- Intel Quartus Prime Pro Edition سافٹ ویئر لانچ کریں اور ہارڈویئر ٹیسٹ پروجیکٹ کھولیں۔ file /hardware_test_design/eth_ex_40g.qpf۔
- اسائنمنٹ مینو پر، ڈیوائس پر کلک کریں۔ ڈیوائس ڈائیلاگ باکس ظاہر ہوتا ہے۔
- ڈیوائس ڈائیلاگ باکس میں، ای ٹائل پر مبنی ٹارگٹ ڈیوائس ٹیبل منتخب کریں جو آپ کی ڈیولپمنٹ کٹ پر موجود ڈیوائس پارٹ نمبر سے مماثل ہو۔ انٹیل پر ڈویلپمنٹ کٹ کے لنک کا حوالہ دیں۔ webمزید معلومات کے لیے سائٹ.
- جب آپ کوئی آلہ منتخب کرتے ہیں تو ایک پرامپٹ ظاہر ہوتا ہے، جیسا کہ نیچے دی گئی تصویر میں دکھایا گیا ہے۔ جنریٹڈ پن اسائنمنٹس اور I/O اسائنمنٹس کو محفوظ کرنے کے لیے No کو منتخب کریں۔
ڈیوائس سلیکشن کے لیے انٹیل کوارٹس پرائم پرامپٹ
- اپنے ڈیزائن کی مکمل تالیف انجام دیں۔
اب آپ اپنے ہارڈ ویئر پر ڈیزائن کی جانچ کر سکتے ہیں۔
متعلقہ معلومات
- Intel Stratix 10 E-Tile TX سگنل انٹیگریٹی ڈیولپمنٹ کٹ
- Intel Agilex F-Series FPGA ڈویلپمنٹ کٹ
ہارڈ ویئر میں کم لیٹنسی ای ٹائل 40G ایتھرنیٹ انٹیل ایف پی جی اے آئی پی ڈیزائن کی جانچ کرنا
کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP کور ڈیزائن کو مرتب کرنے کے بعدample اور اسے اپنے Intel ڈیوائس پر ترتیب دیں، آپ IP کور اور اس کے ایمبیڈڈ Native PHY IP کور رجسٹروں کو پروگرام کرنے کے لیے سسٹم کنسول کا استعمال کر سکتے ہیں۔ سسٹم کنسول کو آن کرنے اور ہارڈ ویئر ڈیزائن کی جانچ کرنے کے لیے exampلی، ان اقدامات پر عمل کریں:
- Intel Quartus Prime Pro Edition سافٹ ویئر میں، سسٹم کنسول لانچ کرنے کے لیے Tools ➤ System Debugging Tools ➤ System Console کو منتخب کریں۔
- Tcl کنسول پین میں، ڈائرکٹری کو /hardware_test_design/hwtest میں تبدیل کرنے کے لیے cd hwtest ٹائپ کریں۔
- J سے کنکشن کھولنے کے لیے source main.tcl ٹائپ کریں۔TAG ماسٹر
اضافی ڈیزائن سابقampلی کمانڈز آئی پی کور کو پروگرام کرنے کے لیے دستیاب ہیں:
- chkphy_status: گھڑی کی فریکوئنسی اور پی ایچ وائی لاک اسٹیٹس دکھاتا ہے۔
- chkmac_stats: MAC شماریات کاؤنٹرز میں اقدار کو ظاہر کرتا ہے۔
- واضح_تمام_اعداد و شمار: IP بنیادی شماریات کاؤنٹرز کو صاف کرتا ہے۔
- start_pkt_gen: پیکٹ جنریٹر شروع کرتا ہے۔
- stop_pkt_gen: پیکٹ جنریٹر کو روکتا ہے۔
- sys_reset_digital_analog: سسٹم ری سیٹ۔
- loop_on: اندرونی سیریل لوپ بیک کو آن کرتا ہے۔
- loop_off: اندرونی سیریل لوپ بیک کو آف کرتا ہے۔
- reg_read : پر آئی پی کور رجسٹر ویلیو لوٹاتا ہے۔ .
- reg_write : لکھتا ہے۔ ایڈریس پر آئی پی کور رجسٹر پر .
ڈیزائن سابق کے ہارڈ ویئر ٹیسٹنگ سیکشن میں ٹیسٹ کے طریقہ کار پر عمل کریں۔ample اور سسٹم کنسول میں ٹیسٹ کے نتائج کا مشاہدہ کریں۔
متعلقہ معلومات
سسٹم کنسول کے ساتھ ڈیزائن کا تجزیہ اور ڈیبگ کرنا
ڈیزائن سابقampلی تفصیل
ای ٹائل پر مبنی 40G ایتھرنیٹ ڈیزائن سابقample کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP کور کے افعال کو ظاہر کرتا ہے، جس میں E-ٹائل پر مبنی ٹرانسیور انٹرفیس IEEE 802.3ba معیاری CAUI-4 تفصیلات کے مطابق ہے۔ آپ Ex سے ڈیزائن تیار کر سکتے ہیں۔ampکم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP پیرامیٹر ایڈیٹر میں ڈیزائن ٹیب۔
ڈیزائن سابق پیدا کرنے کے لئےampمثال کے طور پر، آپ کو پہلے آئی پی کور تغیرات کے لیے پیرامیٹر کی قدریں سیٹ کرنی ہوں گی جسے آپ اپنی آخری مصنوعات میں پیدا کرنا چاہتے ہیں۔ ڈیزائن سابقہ پیدا کرناample IP کور کی ایک کاپی بناتا ہے۔ ٹیسٹ بینچ اور ہارڈویئر ڈیزائن سابقampاس تغیر کو بطور DUT استعمال کریں۔ اگر آپ DUT کے لیے پیرامیٹر کی قدریں سیٹ نہیں کرتے ہیں تاکہ آپ کی آخری مصنوعات میں پیرامیٹر کی قدروں سے مماثل ہو، ڈیزائن سابقampآپ جو آئی پی کور ویری ایشن کا ارادہ رکھتے ہیں اسے استعمال نہیں کرتا ہے۔
نوٹ:
ٹیسٹ بینچ آئی پی کور کے بنیادی ٹیسٹ کو ظاہر کرتا ہے۔ اس کا مقصد توثیق کے مکمل ماحول کا متبادل نہیں ہے۔ آپ کو اپنی کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP ڈیزائن کی نقلی اور ہارڈ ویئر میں مزید وسیع تصدیق کرنی ہوگی۔
خصوصیات
- Intel Stratix 40 یا Intel Agilex ڈیوائس کا استعمال کرتے ہوئے ای ٹائل ٹرانسیور کے لیے 10G ایتھرنیٹ MAC/PCS IP کور کو سپورٹ کرتا ہے۔
- تمہید پاس تھرو اور لنک ٹریننگ کی حمایت کرتا ہے۔
- ڈیزائن سابق پیدا کرتا ہےampمیک کے اعدادوشمار کاؤنٹرز کی خصوصیت کے ساتھ۔
- ٹیسٹ بینچ اور نقلی اسکرپٹ فراہم کرتا ہے۔
ہارڈ ویئر اور سافٹ ویئر کی ضروریات
سابق کو جانچنے کے لیےampلی ڈیزائن، درج ذیل ہارڈ ویئر اور سافٹ ویئر استعمال کریں:
- انٹیل کوارٹس پرائم پرو ایڈیشن سافٹ ویئر
- سسٹم کنسول
- ModelSim، VCS، VCS MX، NCSim، یا Xcelium Simulator
- Intel Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit یا Intel Agilex F-series Transceiver-SoC ڈویلپمنٹ کٹ
فنکشنل تفصیل
یہ سیکشن 40G ایتھرنیٹ MAC/PCS IP کور کی وضاحت کرتا ہے جو E-ٹائل پر مبنی ٹرانسیور میں Intel ڈیوائس کا استعمال کرتا ہے۔ ترسیل کی سمت میں، MAC کلائنٹ کے فریموں کو قبول کرتا ہے اور PHY کو منتقل کرنے سے پہلے انٹر پیکٹ گیپ (IPG)، تمہید، فریم ڈیلیمیٹر (SFD) کا آغاز، پیڈنگ، اور CRC بٹس داخل کرتا ہے۔ PHY MAC فریم کو انکوڈ کرتا ہے جیسا کہ میڈیا پر ریموٹ اینڈ تک قابل اعتماد ترسیل کے لیے ضروری ہے۔ وصول کرنے کی سمت میں، PHY فریموں کو MAC کو دیتا ہے۔ MAC PHY سے فریم قبول کرتا ہے، چیک کرتا ہے، CRC، تمہید، اور SFD کو الگ کرتا ہے، اور باقی فریم کلائنٹ کو دیتا ہے۔
تخروپن
ٹیسٹ بینچ آئی پی کور کے ذریعے ٹریفک بھیجتا ہے، آئی پی کور کے ٹرانسمٹ سائیڈ اور ریسیو سائیڈ کو استعمال کرتا ہے۔
کم لیٹنسی E-Tile 40G Ethernet Design Exampلی بلاک ڈایاگرام

تخروپن ڈیزائن سابقampاعلی سطحی ٹیسٹ file بنیادی_avl_tb_top.sv ہے۔ یہ file PHY کو 156.25 میگاہرٹز کا کلاک حوالہ clk_ref فراہم کرتا ہے۔ اس میں 10 پیکٹ بھیجنے اور وصول کرنے کا کام شامل ہے۔
کم لیٹنسی ای-ٹائل 40G ایتھرنیٹ کور ٹیسٹ بینچ File تفصیل
| File نام | تفصیل |
| ٹیسٹ بینچ اور تخروپن Files | |
| بنیادی_avl_tb_top.sv | ٹاپ لیول ٹیسٹ بینچ file. ٹیسٹ بینچ ڈی یو ٹی کو انسٹیٹیوٹ کرتا ہے اور پیکٹ بنانے اور قبول کرنے کے لیے ویریلوگ ایچ ڈی ایل ٹاسک چلاتا ہے۔ |
| بنیادی_avl_tb_top_nc.sv | ٹاپ لیول ٹیسٹ بینچ file NCSim سمیلیٹر کے ساتھ ہم آہنگ۔ |
| بنیادی_avl_tb_top_msim.sv | ٹاپ لیول ٹیسٹ بینچ file ModelSim سمیلیٹر کے ساتھ ہم آہنگ۔ |
| ٹیسٹ بینچ اسکرپٹس | |
| run_vsim.do | ٹیسٹ بینچ چلانے کے لیے مینٹر گرافکس* ماڈل سم اسکرپٹ۔ |
| run_vcs.sh | ٹیسٹ بینچ چلانے کے لیے Synopsys VCS اسکرپٹ۔ |
| جاری… | |
| File نام | تفصیل |
| run_vcsmx.sh | ٹیسٹ بینچ کو چلانے کے لیے Synopsys VCS MX اسکرپٹ (Verilog HDL اور VHDL کے ساتھ سسٹم Verilog کا مشترکہ)۔ |
| run_ncsim.sh | ٹیسٹ بینچ چلانے کے لیے Cadence NCSim اسکرپٹ۔ |
| run_xcelium.sh | ٹیسٹ بینچ چلانے کے لیے Cadence Xcelium اسکرپٹ۔ |
کامیاب ٹیسٹ رن درج ذیل رویے کی تصدیق کرنے والی آؤٹ پٹ دکھاتا ہے:
- RX گھڑی کے ٹھیک ہونے کا انتظار ہے۔
- پرنٹنگ PHY اسٹیٹس
- 10 پیکٹ بھیج رہے ہیں۔
- 10 پیکٹ وصول کر رہے ہیں۔
- "ٹیسٹ بینچ مکمل" دکھا رہا ہے۔
مندرجہ ذیل ایسampلی آؤٹ پٹ ایک کامیاب نقلی ٹیسٹ رن کی وضاحت کرتا ہے:
- #RX سیدھ کا انتظار ہے۔
- #RX ڈیسکیو مقفل ہے۔
- #RX لین کی سیدھ بند کر دی گئی۔
- #TX فعال ہے۔
- #**پیکٹ 1 بھیجا جا رہا ہے…
- #**پیکٹ 2 بھیجا جا رہا ہے…
- #**پیکٹ 3 بھیجا جا رہا ہے…
- #**پیکٹ 4 بھیجا جا رہا ہے…
- #**پیکٹ 5 بھیجا جا رہا ہے…
- #**پیکٹ 6 بھیجا جا رہا ہے…
- #**پیکٹ 7 بھیجا جا رہا ہے…
- #**پیکٹ 1 موصول ہوا…
- #**پیکٹ 8 بھیجا جا رہا ہے…
- #**پیکٹ 2 موصول ہوا…
- #**پیکٹ 9 بھیجا جا رہا ہے…
- #**پیکٹ 3 موصول ہوا…
- #**پیکٹ 10 بھیجا جا رہا ہے…
- #**پیکٹ 4 موصول ہوا…
- #**پیکٹ 5 موصول ہوا…
- #**پیکٹ 6 موصول ہوا…
- #**پیکٹ 7 موصول ہوا…
- #**پیکٹ 8 موصول ہوا…
- #**پیکٹ 9 موصول ہوا…
- #**پیکٹ 10 موصول ہوا…
متعلقہ معلومات
ڈیزائن کی تقلید سابقampلی ٹیسٹ بینچ صفحہ 7 پر
ہارڈ ویئر ٹیسٹنگ
ہارڈ ویئر ڈیزائن میں سابقampلی، آپ آئی پی کور کو اندرونی سیریل لوپ بیک موڈ میں پروگرام کر سکتے ہیں اور ٹرانسمٹ سائیڈ پر ٹریفک پیدا کر سکتے ہیں جو ریسیو سائیڈ سے واپس لوٹتا ہے۔
کم لیٹنسی E-Tile 40G ایتھرنیٹ IP ہارڈ ویئر ڈیزائن Exampہائی لیول بلاک ڈایاگرام

کم لیٹنسی E-Tile 40G ایتھرنیٹ ہارڈویئر ڈیزائن سابقample میں مندرجہ ذیل اجزاء شامل ہیں:
- کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP کور۔
- کلائنٹ کی منطق جو IP کور کی پروگرامنگ، اور پیکٹ جنریشن اور چیکنگ کو مربوط کرتی ہے۔
- IOPLL 100 میگاہرٹز ان پٹ کلاک سے ہارڈ ویئر ڈیزائن تک 50 میگا ہرٹز کلاک تیار کرے گا۔ample
- JTAG کنٹرولر جو انٹیل سسٹم کنسول کے ساتھ بات چیت کرتا ہے۔ آپ سسٹم کنسول کے ذریعے کلائنٹ کی منطق کے ساتھ بات چیت کرتے ہیں۔
ڈیزائن سابق کو جانچنے کے لیے فراہم کردہ متعلقہ معلومات کے لنک پر طریقہ کار پر عمل کریں۔ampمنتخب ہارڈ ویئر میں le.
متعلقہ معلومات
- صفحہ 40 پر ہارڈ ویئر میں کم لیٹنسی ای ٹائل 9G ایتھرنیٹ انٹیل ایف پی جی اے آئی پی ڈیزائن کی جانچ کرنا
- سسٹم کنسول کے ساتھ ڈیزائن کا تجزیہ اور ڈیبگ کرنا
اندرونی لوپ بیک ٹیسٹ
اندرونی لوپ بیک ٹیسٹ کرنے کے لیے ان اقدامات کو چلائیں:
- سسٹم کو ری سیٹ کریں۔
sys_reset_digital_analog - گھڑی کی فریکوئنسی اور PHY اسٹیٹس دکھائیں۔
chkphy_status - اندرونی لوپ بیک ٹیسٹ کو آن کریں۔
loop_on - گھڑی کی فریکوئنسی اور PHY اسٹیٹس دکھائیں۔ rx_clk 312.5 میگاہرٹز پر سیٹ ہے اور
rx_pcs_ready 1 پر سیٹ ہے۔
chkphy_status - پیکٹ جنریٹر شروع کریں۔
start_pkt_gen - پیکٹ جنریٹر کو روکیں۔
stop_pkt_gen - Review منتقل شدہ اور موصول ہونے والے پیکٹوں کی تعداد۔
chkmac_stats - اندرونی لوپ بیک ٹیسٹ کو بند کریں۔
loop_off
بیرونی لوپ بیک ٹیسٹ
بیرونی لوپ بیک ٹیسٹ کرنے کے لیے ان اقدامات کو چلائیں:
- سسٹم کو ری سیٹ کریں۔
sys_reset_digital_analog - گھڑی کی فریکوئنسی اور PHY اسٹیٹس دکھائیں۔ rx_clk 312.5 میگاہرٹز پر سیٹ ہے اور
rx_pcs_ready 1. chkphy_status پر سیٹ ہے۔ - پیکٹ جنریٹر شروع کریں۔
start_pkt_gen - پیکٹ جنریٹر کو روکیں۔
stop_pkt_gen - Review منتقل شدہ اور موصول ہونے والے پیکٹوں کی تعداد۔
chkmac_stats
کم لیٹنسی E-Tile 40G Ethernet Design Example رجسٹر
کم لیٹنسی E-Tile 40G ایتھرنیٹ ہارڈ ویئر ڈیزائن Example رجسٹر کا نقشہ
ہارڈ ویئر ڈیزائن سابق کے لیے میموری میپ شدہ رجسٹر رینجز کی فہرست دیتا ہے۔ample آپ سسٹم کنسول میں reg_read اور reg_write فنکشنز کے ساتھ ان رجسٹروں تک رسائی حاصل کرتے ہیں۔
| ورڈ آفسیٹ | رجسٹر کی قسم |
| 0x300-0x3FF | PHY رجسٹر |
| 0x400-0x4FF | TX MAC رجسٹر کرتا ہے۔ |
| 0x500-0x5FF | RX MAC رجسٹر کرتا ہے۔ |
| 0x800-0x8FF | شماریات کاؤنٹر رجسٹر - TX سمت |
| 0x900-0x9FF | شماریات کاؤنٹر رجسٹر - RX سمت |
| 0x1000-1016 | پیکٹ کلائنٹ رجسٹر |
پیکٹ کلائنٹ رجسٹر
آپ کم لیٹنسی E-Tile 40G ایتھرنیٹ ہارڈویئر ڈیزائن کو حسب ضرورت بنا سکتے ہیں۔ampکلائنٹ کے رجسٹروں کو پروگرام کرکے۔
| Addr | نام | بٹ | تفصیل | HW ری سیٹ ویلیو | رسائی |
| 0x1008 | پیکٹ کا سائز ترتیب دیں۔ | [29:0] | ٹرانسمٹ پیکٹ کا سائز بائٹس میں بتائیں۔ ان بٹس کی PKT_GEN_TX_CTRL رجسٹر پر انحصار ہے۔
• بٹ [29:16]: بائٹس میں پیکٹ کے سائز کی اوپری حد کی وضاحت کریں۔ یہ صرف انکریمنٹل موڈ پر لاگو ہوتا ہے۔ • بٹ [13:0]: — فکسڈ موڈ کے لیے، یہ بٹس ٹرانسمٹ پیکٹ کا سائز بائٹس میں بتاتے ہیں۔ — انکریمنٹل موڈ کے لیے، یہ بٹس ایک پیکٹ کے لیے انکریمنٹل بائٹس بتاتے ہیں۔ |
0x25800040 | RW |
| 0x1009 | پیکٹ نمبر کنٹرول | [31:0] | پیکٹ جنریٹر سے منتقل کرنے کے لئے پیکٹوں کی تعداد کی وضاحت کریں۔ | 0xA | RW |
| 0x1010 | PKT_GEN_TX_C TRL | [7:0] | • بٹ [0]: محفوظ۔
• بٹ [1]: پیکٹ جنریٹر بٹ کو غیر فعال کر دیتا ہے۔ پیکٹ جنریٹر کو بند کرنے کے لیے اس بٹ کو 1 کی قدر پر سیٹ کریں، اور پیکٹ جنریٹر کو آن کرنے کے لیے اسے 0 کی قدر پر ری سیٹ کریں۔ • بٹ [2]: محفوظ۔ • بٹ [3]: اگر IP کور MAC لوپ بیک موڈ میں ہے تو اس کی قدر 1 ہے۔ اگر پیکٹ کلائنٹ پیکٹ جنریٹر استعمال کرتا ہے تو اس کی قدر 0 ہے۔ |
0x6 | RW |
| جاری… | |||||
| Addr | نام | بٹ | تفصیل | HW ری سیٹ ویلیو | رسائی |
| • بٹ [5:4]:
- 00: رینڈم موڈ - 01: فکسڈ موڈ - 10: انکریمنٹل موڈ • بٹ [6]: پیکٹ جنریٹر کو بند کرنے کے لیے 1x0 رجسٹر استعمال کرنے کے لیے اس بٹ کو 1009 پر سیٹ کریں۔ دوسری صورت میں، PKT_GEN_TX_CTRL رجسٹر کا بٹ [1] پیکٹ جنریٹر کو بند کرنے کے لیے استعمال کیا جاتا ہے۔ • بٹ [7]: - 1: پیکٹوں کے درمیان خلا کے بغیر ٹرانسمیشن کے لیے۔ - 0: پیکٹوں کے درمیان بے ترتیب خلا کے ساتھ ٹرانسمیشن کے لیے۔ |
|||||
| 0x1011 | منزل کا پتہ 32 بٹس سے کم ہے۔ | [31:0] | منزل کا پتہ (کم 32 بٹس) | 0x56780ADD | RW |
| 0x1012 | منزل کا پتہ اوپری 16 بٹس | [15:0] | منزل کا پتہ (اوپری 16 بٹس) | 0x1234 | RW |
| 0x1013 | ماخذ کا پتہ 32 بٹس سے کم ہے۔ | [31:0] | ماخذ کا پتہ (کم 32 بٹس) | 0x43210ADD | RW |
| 0x1014 | سورس ایڈریس اوپری 16 بٹس | [15:0] | ماخذ کا پتہ (اوپری 16 بٹس) | 0x8765 | RW |
| 0x1016 | PKT_CL_LOOPB ACK_RESET | [0] | MAC لوپ بیک ری سیٹ۔ ڈیزائن سابق کو دوبارہ ترتیب دینے کے لیے 1 کی قدر پر سیٹ کریں۔ampلی میک لوپ بیک۔ | 1'b0 | RW |
متعلقہ معلومات
کم لیٹنسی E-Tile 40G ایتھرنیٹ کنٹرول اور اسٹیٹس رجسٹر کی تفصیل کم لیٹنسی E-Tile 40G ایتھرنیٹ IP کور رجسٹروں کی وضاحت کرتی ہے۔
ڈیزائن سابقampلی انٹرفیس سگنلز
کم لیٹنسی ای-ٹائل 40G ایتھرنیٹ ٹیسٹ بینچ خود ساختہ ہے اور اس کے لیے آپ کو کوئی ان پٹ سگنل چلانے کی ضرورت نہیں ہے۔
کم لیٹنسی E-Tile 40G ایتھرنیٹ ہارڈ ویئر ڈیزائن Exampلی انٹرفیس سگنلز
| سگنل | سمت | تبصرے |
|
clk50 |
ان پٹ |
یہ گھڑی بورڈ آسکیلیٹر سے چلتی ہے۔
• Intel Stratix 50 بورڈ پر 10 MHz پر ڈرائیو کریں۔ • Intel Agilex بورڈ پر 100 MHz پر ڈرائیو کریں۔ ہارڈ ویئر ڈیزائن سابقample اس گھڑی کو آلہ پر ایک IOPLL کے ان پٹ پر لے جاتا ہے اور IOPLL کو اندرونی طور پر 100 میگاہرٹز گھڑی چلانے کے لیے ترتیب دیتا ہے۔ |
| clk_ref | ان پٹ | 156.25 میگاہرٹز پر ڈرائیو کریں۔ |
| جاری… | ||
| سگنل | سمت | تبصرے |
|
cpu_resetn |
ان پٹ |
آئی پی کور کو ری سیٹ کرتا ہے۔ فعال کم. عالمی ہارڈ ری سیٹ csr_reset_n کو IP کور پر چلاتا ہے۔ |
| tx_serial[3:0] | آؤٹ پٹ | ٹرانسیور PHY آؤٹ پٹ سیریل ڈیٹا۔ |
| rx_serial[3:0] | ان پٹ | ٹرانسیور PHY ان پٹ سیریل ڈیٹا۔ |
|
user_led[7:0] |
آؤٹ پٹ |
اسٹیٹس سگنلز۔ ہارڈ ویئر ڈیزائن سابقample ان بٹس کو ٹارگٹ بورڈ پر ایل ای ڈی چلانے کے لیے جوڑتا ہے۔ انفرادی بٹس درج ذیل سگنل کی اقدار اور گھڑی کے رویے کی عکاسی کرتے ہیں:
• [0]: آئی پی کور پر مین ری سیٹ سگنل • [1]: clk_ref کا تقسیم شدہ ورژن • [2]: clk50 کا تقسیم شدہ ورژن • [3]: 100 میگاہرٹز اسٹیٹس کلاک کا تقسیم شدہ ورژن • [4]: tx_lanes_stable • [5]: rx_block_lock • [6]: rx_am_lock • [7]: rx_pcs_ready |
متعلقہ معلومات
انٹرفیس اور سگنل کی تفصیل کم لیٹنسی E-Tile 40G ایتھرنیٹ IP کور سگنلز اور ان انٹرفیسز کی تفصیلی وضاحت فراہم کرتی ہے جن سے وہ تعلق رکھتے ہیں۔
کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP آرکائیوز
اگر IP کور ورژن درج نہیں ہے، تو پچھلے IP کور ورژن کے لیے صارف گائیڈ لاگو ہوتا ہے۔
| انٹیل کوارٹس پرائم ورژن | آئی پی کور ورژن | یوزر گائیڈ |
| 20.1 | 19.1.0 | کم لیٹنسی E-Tile 40G Ethernet Design Exampلی یوزر گائیڈ |
کم لیٹنسی ای ٹائل 40G ایتھرنیٹ ڈیزائن Ex کے لیے دستاویز پر نظرثانی کی تاریخampلی یوزر گائیڈ
| دستاویز کا ورژن | انٹیل کوارٹس پرائم ورژن | IP ورژن | تبدیلیاں |
| 2020.06.22 | 20.2 | 20.0.0 | Intel Agilex ڈیوائسز کے لیے ڈیوائس سپورٹ شامل کی گئی۔ |
| 2020.04.13 | 20.1 | 19.1.0 | ابتدائی ریلیز۔ |
انٹیل کارپوریشن۔ جملہ حقوق محفوظ ہیں. Intel، Intel لوگو، اور Intel کے دیگر نشانات Intel Corporation یا اس کے ذیلی اداروں کے ٹریڈ مارک ہیں۔ Intel اپنی FPGA اور سیمی کنڈکٹر مصنوعات کی کارکردگی کو Intel کی معیاری وارنٹی کے مطابق موجودہ تصریحات کی ضمانت دیتا ہے، لیکن بغیر اطلاع کے کسی بھی وقت کسی بھی مصنوعات اور خدمات میں تبدیلیاں کرنے کا حق محفوظ رکھتا ہے۔ Intel یہاں بیان کردہ کسی بھی معلومات، پروڈکٹ، یا سروس کے اطلاق یا استعمال سے پیدا ہونے والی کوئی ذمہ داری یا ذمہ داری قبول نہیں کرتا ہے سوائے اس کے کہ Intel کی طرف سے تحریری طور پر واضح طور پر اتفاق کیا گیا ہو۔ انٹیل کے صارفین کو مشورہ دیا جاتا ہے کہ وہ کسی بھی شائع شدہ معلومات پر بھروسہ کرنے سے پہلے اور مصنوعات یا خدمات کے آرڈر دینے سے پہلے ڈیوائس کی تفصیلات کا تازہ ترین ورژن حاصل کریں۔ دوسرے ناموں اور برانڈز پر دوسروں کی ملکیت کے طور پر دعوی کیا جا سکتا ہے۔
دستاویزات / وسائل
![]() |
intel Low Latency E-Tile 40G Ethernet Intel FPGA IP ڈیزائن Example [پی ڈی ایف] یوزر گائیڈ کم لیٹنسی E-Tile 40G Ethernet Intel FPGA IP ڈیزائن Example, Low Latency, E-Tile 40G Ethernet Intel FPGA IP Design Exampلی، انٹیل ایف پی جی اے آئی پی ڈیزائن سابقampلی، آئی پی ڈیزائن سابقample |





